From: Adrian Weber Date: Tue, 2 Mar 2021 15:28:55 +0000 (+0100) Subject: DCA bridge to trbNet in a UDP/GBE like manner. Testbench added. Only write direction... X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=988a18385a9e36f7551f56ac743c623a9ee841b9;p=cri.git DCA bridge to trbNet in a UDP/GBE like manner. Testbench added. Only write direction is tested in simulation. --- diff --git a/src/DCA_bridge_tb/DCA_bridge_tb.mpf b/src/DCA_bridge_tb/DCA_bridge_tb.mpf new file mode 100644 index 0000000..3923f74 --- /dev/null +++ b/src/DCA_bridge_tb/DCA_bridge_tb.mpf @@ -0,0 +1,2286 @@ +; vsim modelsim.ini file +[Version] +INIVersion = "2020.4" + +; Copyright 1991-2020 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +vital2000 = $MODEL_TECH/../vital2000 +; +; VITAL concerns: +; +; The library ieee contains (among other packages) the packages of the +; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use +; the physical library ieee (recommended), or use the physical library +; vital2000, but not both. The design can use logical library ieee and/or +; vital2000 as long as each of these maps to the same physical library, either +; ieee or vital2000. +; +; A design using the 1995 version of the VITAL packages, whether or not +; it also uses the 2000 version of the VITAL packages, must have logical library +; name ieee mapped to physical library vital1995. (A design cannot use library +; vital1995 directly because some packages in this library use logical name ieee +; when referring to the other packages in the library.) The design source +; should use logical name ieee when referring to any packages there except the +; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical +; name vital2000 (mapped to physical library vital2000) to refer to those +; packages. +; ieee = $MODEL_TECH/../vital1995 +; +; For compatiblity with previous releases, logical library name vital2000 maps +; to library vital2000 (a different library than library ieee, containing the +; same packages). +; A design should not reference VITAL from both the ieee library and the +; vital2000 library because the vital packages are effectively different. +; A design that references both the ieee and vital2000 libraries must have +; both logical names ieee and vital2000 mapped to the same library, either of +; these: +; $MODEL_TECH/../ieee +; $MODEL_TECH/../vital2000 +; +verilog = $MODEL_TECH/../verilog +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys +modelsim_lib = $MODEL_TECH/../modelsim_lib +sv_std = $MODEL_TECH/../sv_std +mtiAvm = $MODEL_TECH/../avm +mtiRnm = $MODEL_TECH/../rnm +mtiOvm = $MODEL_TECH/../ovm-2.1.2 +mtiUvm = $MODEL_TECH/../uvm-1.1d +mtiUPF = $MODEL_TECH/../upf_lib +mtiPA = $MODEL_TECH/../pa_lib +floatfixlib = $MODEL_TECH/../floatfixlib +mc2_lib = $MODEL_TECH/../mc2_lib +flps_lib = $MODEL_TECH/../flps_lib +osvvm = $MODEL_TECH/../osvvm + +; added mapping for ADMS +mgc_ams = $MODEL_TECH/../mgc_ams +ieee_env = $MODEL_TECH/../ieee_env + +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release +;mvc_lib = $MODEL_TECH/../mvc_lib +infact = $MODEL_TECH/../infact +vhdlopt_lib = $MODEL_TECH/../vhdlopt_lib +vh_ux01v_lib = $MODEL_TECH/../vh_ux01v_lib + +; Automatically perform logical->physical mapping for physical libraries that +; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/'). +; The tail of the filesystem path name is chosen as the logical library name. +; For example, in the command "vopt -L ./path/to/lib1 -o opttop top", +; vopt automatically performs the mapping "lib1 -> ./path/to/lib1". +; See the User Manual for more details. +; +; AutoLibMapping = 0 + +work = work +ecp3 = /home/adrian/trbvhdl/cri/src/DCA_bridge_tb/work +[DefineOptionset] +; Define optionset entries for the various compilers, vmake, and vsim. +; These option sets can be used with the "-optionset " syntax. +; i.e. +; vlog -optionset COMPILEDEBUG top.sv +; vsim -optionset UVMDEBUG my_top +; +; Following are some useful examples. + +; define a vsim optionset for uvm debugging +UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop + +; define a vopt optionset for debugging +VOPTDEBUG = +acc -debugdb + +[encryption] +; For vencrypt and vhencrypt. + +; Controls whether to encrypt whole files by ignoring all protect directives +; (except "viewport" and "interface_viewport") that are present in the input. +; The default is 0, use embedded protect directives to control the encryption. +; Set this to 1 to encrypt whole files by ignoring embedded protect directives. +; wholefile = 0 + +; Sets the data_method to use for the symmetric session key. +; The session key is a symmetric key that is randomly generated for each +; protected region (envelope) and is the heart of all encryption. This is used +; to set the length of the session key to generate and use when encrypting the +; HDL text. Supported values are aes128, aes192, and aes256. +; data_method = aes128 + +; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption +; "recipe" comprising an optional common block, at least one tool block (which +; contains the key public key), and the text to be encrypted. The common block +; and any of the tool blocks may contain rights in the form of the "control" +; directive. The text to be encrypted is specified either by setting +; "wholefile" to 1 or by embedding protect "begin" and "end" directives in +; the input HDL files. + +; Common recipe specification file. This file is optional. Its presence will +; require at least one "toolblock" to be specified. +; Directives such as "author" "author_info" and "data_method", +; as well as the common block license specification, go in this file. +; common = + +; Tool block specification recipe(s). Public key file with optional tool block +; file name. May be multiply-defined; at least one tool block is required if +; a recipe is being specified. +; Key file is a file name with no extension (.deprecated or .active will be +; supplied by the encryption tool). +; Rights file name is optional. +; toolblock = [,]{:[,]} + +; Location of directory containing recipe files. +; The default location is in the product installation directory. +; keyring = $MODEL_TECH/../keyring + +; Enable encryption statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list] +; Add '-' to disable specific statistics. Default is [cmd,msg]. +Stats = cmd,msg + +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +; Value of 3 or 2008 for VHDL-2008 +; Value of 4 or ams99 for VHDL-AMS-1999 +; Value of 5 or ams07 for VHDL-AMS-2007 +VHDL93 = 2002 + +; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. +; ignoreStandardRealVector = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Enable compiler statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile = 1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Set the prefix to be honored for synthesis/coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverSub = 0 + +; Automatically exclude VHDL case statement OTHERS choice branches. +; This includes OTHERS choices in selected signal assigment statements. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Turn on or off clkOpt optimization for code coverage. Default is on. +; CoverClkOpt = 1 + +; Turn on or off clkOpt optimization builtins for code coverage. Default is on. +; CoverClkOptBuiltins = 0 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable Rapid Expression Coverage mode for conditions and expressions. +; Disabling this would convert non-masking conditions in FEC tables to matching +; input patterns. +; CoverREC = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; NOTE: Enabling this may have a negative impact on simulation performance. +; CoverExpandReductionPrefix = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Set this to cause the compilers to force data to be committed to disk +; when the files are closed. +; SyncCompilerFiles = 1 + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; Controls whether or not to show immediate assertions with constant expressions +; in GUI/report/UCDB etc. By default, immediate assertions with constant +; expressions are shown in GUI/report/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls how VHDL basic identifiers are stored with the design unit. +; Does not make the language case-sensitive, affects only how declarations +; declared with basic identifiers have their names stored and printed +; (in the GUI, examine, etc.). +; Default is to preserve the case as originally depicted in the VHDL source. +; Value of 0 indicates to change all basic identifiers to lower case. +; PreserveCase = 0 + +; For Configuration Declarations, controls the effect that USE clauses have +; on visibility inside the configuration items being configured. If 1 +; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, +; extend the visibility of objects made visible through USE clauses into nested +; component configurations. +; OldVHDLConfigurationVisibility = 0 + +; Allows VHDL configuration declarations to be in a different library from +; the corresponding configured entity. Default is to not allow this for +; stricter LRM-compliance. +; SeparateConfigLibrary = 1; + +; Determine how mode OUT subprogram parameters of type array and record are treated. +; If 0 (the default), then only VHDL 2008 will do this initialization. +; If 1, always initialize the mode OUT parameter to its default value. +; If 2, do not initialize the mode OUT out parameter. +; Note that prior to release 10.1, all language versions did not initialize mode +; OUT array and record type parameters, unless overridden here via this mechanism. +; In release 10.1 and later, only files compiled with VHDL 2008 will cause this +; initialization, unless overridden here. +; InitOutCompositeParam = 0 + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +; Enable or disable automatic creation of missing libraries. +; Default is 1 (enabled) +; CreateLib = 1 + +; Describe compilation options according to matching file patterns. +; File pattern * matches all printing characters other than '/'. +; File pattern **/x matches all paths containing file/directory x. +; File pattern x/** matches all paths beginning at directory x. +; FileOptMap = (**/*.vhd => -2008); + +; Describe library targets of compilation according to matching file patterns. +; LibMap = (**/*.vhd => work); + +[vlog] +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +; vlog95compat = 1 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Enable compiler statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list,kb] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with total size in bytes equal to or more than the sparse memory +; threshold gets marked as sparse automatically, unless specified otherwise +; in source code or by the +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with total size equal +; to or greater than 1Mb are marked as sparse) +; SparseMemThreshold = 1048576 + +; Set the prefix to be honored for synthesis and coverage pragma recognition. +; Default is "". +; AddPragmaPrefix = "" + +; Ignore synthesis and coverage pragmas with this prefix. +; Default is "". +; IgnorePragmaPrefix = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not automatically exclude defaults. +; CoverExcludeDefault = 1 + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable Multi Bit Expression Coverage in a Design, If design has expression with +; multi bit operands, this option enables its Expression Coverage. +; The default value is 0. +; CoverFecMultiBit = 1 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable or disable Focused Expression Coverage analysis for conditions and +; expressions. Focused Expression Coverage data is provided by default when +; expression and/or condition coverage is active. +; CoverFEC = 0 + +; Enable or disable UDP Coverage analysis for conditions and expressions. +; UDP Coverage data is disabled by default when expression and/or condition +; coverage is active. +; CoverUDP = 1 + +; Enable or disable Rapid Expression Coverage mode for conditions and expressions. +; Disabling this would convert non-masking conditions in FEC tables to matching +; input patterns. +; CoverREC = 1 + +; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions +; for expression/condition coverage. +; NOTE: Enabling this may have a negative impact on simulation performance. +; CoverExpandReductionPrefix = 0 + +; Enable or disable short circuit evaluation of conditions and expressions when +; condition or expression coverage is active. Short circuit evaluation is enabled +; by default. +; CoverShortCircuit = 0 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Turn on code coverage in VLOG `celldefine modules, modules containing +; specify blocks, and modules included using vlog -v and -y. Default is off. +; CoverCells = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 0 to 5, with the following +; meanings (the default is 3): +; 5 -- All allowable optimizations are on. +; 4 -- Turn off removing unreferenced code. +; 3 -- Turn off process, always block and if statement merging. +; 2 -- Turn off expression optimization, converting primitives +; to continuous assignments, VHDL subprogram inlining. +; and VHDL clkOpt (converting FF's to builtins). +; 1 -- Turn off continuous assignment optimizations and clock suppression. +; 0 -- Turn off Verilog module inlining and VHDL arch inlining. +; HOWEVER, if fsm coverage is turned on, optimizations will be forced to +; level 3, with also turning off converting primitives to continuous assigns. +; CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => _ +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; in the [vsim] section can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; in the [vsim] section can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). +; SVCovergroupPerInstanceDefault = 0 + +; Specify the override for the default value of "get_inst_coverage" option for the +; Covergroup variables. This is a compile time option which forces +; "get_inst_coverage" to a user specified default value and supersedes +; SystemVerilog specified default value of '0'(zero). +; SVCovergroupGetInstCoverageDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = [ ...] +LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +; Turn ON detection of FSMs having single bit current state variable. +; FsmSingle = 1 + +; Turn off reset state transitions in FSM. +; FsmResetTrans = 0 + +; Turn off detections of FSMs having x-assignment. +; FsmXAssign = 0 + +; Turn ON detection of FSM Implicit Transitions. +; FsmImplicitTrans = 1 + +; List of file suffixes which will be read as SystemVerilog. White space +; in extensions can be specified with a back-slash: "\ ". Back-slashes +; can be specified with two consecutive back-slashes: "\\"; +; SvFileSuffixes = sv svp svh + +; This setting is the same as the vlog -sv command line switch. +; Enables SystemVerilog features and keywords when true (1). +; When false (0), the rules of IEEE Std 1364-2005 are followed and +; SystemVerilog keywords are ignored. +; Svlog = 0 + +; Prints attribute placed upon SV packages during package import +; when true (1). The attribute will be ignored when this +; entry is false (0). The attribute name is "mti_design_element_load_message". +; The value of this attribute is a string literal. +; Default is true (1). +; PrintSVPackageLoadingAttribute = 1 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Controls if untyped parameters that are initialized with values greater +; than 2147483647 are mapped to generics of type INTEGER or ignored. +; If mapped to VHDL Integers, values greater than 2147483647 +; are mapped to negative values. +; Default is to map these parameter to generic of type INTEGER +; ForceUnsignedToVHDLInteger = 1 + +; Enable AMS wreal (wired real) extensions. Default is 0. +; WrealType = 1 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. +; SvExtensions = [+|-][,[+|-]*] + +; Generate symbols debugging database in only some special cases to save on +; the number of files in the library. For other design-units, this database is +; generated on-demand in vsim. +; Default is to to generate debugging database for all design-units. +; SmartDbgSym = 1 + +; Controls how $unit library entries are named. Valid options are: +; "file" (generate name based on the first file on the command line) +; "du" (generate name based on first design unit following an item +; found in $unit scope) +; CUAutoName = file + +; Enable or disable automatic creation of missing libraries. +; Default is 1 (enabled) +; CreateLib = 1 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Specify the compiler version from the list of support GNU compilers. +; examples 4.7.4, 5.3.0, 7.4.0 +; CppInstall = 7.4.0 + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off. +; Sc22Mode = 1 + +; Enable compiler statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list,kb] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; Enable or disable automatic creation of missing libraries. +; Default is 1 (enabled) +; CreateLib = 1 + +; Enable use of UVMC library. Default is off. +; UseUvmc = 1 + +[vopt] +; Turn on code coverage in vopt. Default is off. +; Coverage = sbceft + +; enable or disable param saving in UCDB. +; CoverageSaveParam = 0 + +; Control compiler optimizations that are allowed when +; code coverage is on. Refer to the comment for this in the [vlog] area. +; CoverOpt = 3 + +; Controls set of CoverConstructs that are being considered for Coverage +; Collection. +; Some of Valid options are: default,set1,set2 +; Covermode = default + +; Controls set of HDL cover constructs that would be considered(or not considered) +; for Coverage Collection. (Default corresponds to covermode default). +; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs". +; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva + +; Increase or decrease the maximum number of rows allowed in a UDP table +; implementing a VHDL condition coverage or expression coverage expression. +; More rows leads to a longer compile time, but more expressions covered. +; CoverMaxUDPRows = 192 + +; Increase or decrease the maximum number of input patterns that are present +; in FEC table. This leads to a longer compile time with more expressions +; covered with FEC metric. +; CoverMaxFECRows = 192 + +; Enable Multi Bit Expression Coverage in a Design, If design has expression with +; multi bit operands, this option enables its Expression Coverage. +; The default value is 0. +; CoverFecMultiBit = 1 + +; Increase or decrease the limit on the size of expressions and conditions +; considered for expression and condition coverages. Higher FecUdpEffort leads +; to higher compile, optimize and simulation time, but more expressions and +; conditions are considered for coverage in the design. FecUdpEffort can +; be set to a number ranging from 1 (low) to 3 (high), defined as: +; 1 - (low) Only small expressions and conditions considered for coverage. +; 2 - (medium) Bigger expressions and conditions considered for coverage. +; 3 - (high) Very large expressions and conditions considered for coverage. +; The default setting is 1 (low). +; FecUdpEffort = 1 + +; Enable code coverage reporting of code that has been optimized away. +; The default is not to report. +; CoverReportCancelled = 1 + +; Enable deglitching of code coverage in combinatorial, non-clocked, processes. +; Default is no deglitching. +; CoverDeglitchOn = 1 + +; Enable compiler statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list,kb] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; Control the code coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a +; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; CoverDeglitchPeriod = 0 + +; Do not show immediate assertions with constant expressions in +; GUI/reports/UCDB etc. By default immediate assertions with constant +; expressions are shown in GUI/reports/UCDB etc. This does not affect +; evaluation of immediate assertions. +; ShowConstantImmediateAsserts = 0 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Set the number of processes created during the code generation phase. +; By default a heuristic is used to set this value. This may be set to 0 +; to disable this feature completely. +; ParallelJobs = 0 + +; Controls SystemVerilog Language Extensions. These options enable +; some non-LRM compliant behavior. +; SvExtensions = [+|-][,[+|-]*] + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Disable SystemVerilog elaboration system task messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Enable or disable automatic creation of missing libraries. +; Default is 1 (enabled) +; CreateLib = 1 + +[vsim] +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Disable certain code coverage exclusions automatically. +; Assertions and FSM are exluded from the code coverage by default +; Set AutoExclusionsDisable = fsm to enable code coverage for fsm +; Set AutoExclusionsDisable = assertions to enable code coverage for assertions +; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions +; Or specify comma or space separated list +;AutoExclusionsDisable = fsm,assertions + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 1600 ns + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 10000000 + +; Specify libraries to be searched for precompiled modules +; LibrarySearchPath = [ ...] + +; Set XPROP assertion fail limit. Default is 5. +; Any positive integer, -1 for infinity. +; XpropAssertionLimit = 5 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Control SVA and VHDL immediate assertion directives during simulation +; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts +; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts +; SimulateImmedAsserts = 1 + +; License feature mappings for Verilog and VHDL +; qhsimvh Single language VHDL license +; qhsimvl Single language Verilog license +; msimhdlsim Language neutral license for either Verilog or VHDL +; msimhdlmix Second language only, language neutral license for either +; Verilog or VHDL +; +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately checkout and hold a VHDL license (i.e., one of +; qhsimvh, msimhdlsim, or msimhdlmix) +; vlog Immediately checkout and hold a Verilog license (i.e., one of +; qhsimvl, msimhdlsim, or msimhdlmix) +; plus Immediately checkout and hold a VHDL license and a Verilog license +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer license feature (PE ONLY) +; noslvhdl Disable checkout of qhsimvh license feature +; noslvlog Disable checkout of qhsimvl license feature +; nomix Disable checkout of msimhdlmix license feature +; nolnl Disable checkout of msimhdlsim license feature +; mixedonly Disable checkout of qhsimvh and qhsimvl license features +; lnlonly Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features +; +; Examples (remove ";" comment character to activate licensing directives): +; Single directive: +; License = plus +; Multi-directive (Note: space delimited directives): +; License = noqueue plus + +; Severity level of a VHDL assertion message or of a SystemVerilog severity system task +; which will cause a running simulation to stop. +; VHDL assertions and SystemVerilog severity system task that occur with the +; given severity or higher will cause a running simulation to stop. +; This value is ignored during elaboration. +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Severity level of a tool message which will cause a running simulation to +; stop. This value is ignored during elaboration. Default is to not break. +; 0 = Note 1 = Warning 2 = Error 3 = Fatal +;BreakOnMessage = 2 + +; The class debug feature enables more visibility and tracking of class instances +; during simulation. By default this feature is disabled (0). To enable this +; feature set ClassDebug to 1. +; ClassDebug = 1 + +; Message Format conversion specifications: +; %S - Severity Level of message/assertion +; %R - Text of message +; %T - Time of message +; %D - Delta value (iteration number) of Time +; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected +; %i - Instance/Region/Signal pathname with Process name (if available) +; %I - shorthand for one of these: +; " %K: %i" +; " %K: %i File: %F" (when path is not Process or Signal) +; except that the %i in this case does not report the Process name +; %O - Process name +; %P - Instance/Region path without leaf process +; %F - File name +; %L - Line number; if assertion message, then line number of assertion or, if +; assertion is in a subprogram, line from which the call is made +; %u - Design unit name in form library.primary +; %U - Design unit name in form library.primary(secondary) +; %% - The '%' character itself +; +; If specific format for Severity Level is defined, use that format. +; Else, for a message that occurs during elaboration: +; -- Failure/Fatal message in VHDL region that is not a Process, and in +; certain non-VHDL regions, uses MessageFormatBreakLine; +; -- Failure/Fatal message otherwise uses MessageFormatBreak; +; -- Note/Warning/Error message uses MessageFormat. +; Else, for a message that occurs during runtime and triggers a breakpoint because +; of the BreakOnAssertion setting: +; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; +; -- otherwise uses MessageFormatBreak. +; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. +; +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops because of a breakpoint or fatal error. +; Example with function name: # Break in Process ctr at counter.vhd line 44 +; Example without function name: # Break at counter.vhd line 44 +; Default value is 1. +ShowFunctions = 1 + +; Default radix for all windows and commands. +; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned +; Flags may be one of: enumnumeric, showbase, wreal +DefaultRadix = hexadecimal +DefaultRadixFlags = showbase +; Set to 1 for make the signal_force VHDL and Verilog functions use the +; default radix when processing the force value. Prior to 10.2 signal_force +; used the default radix, now it always uses symbolic unless value explicitly indicates base +;SignalForceFunctionUseDefaultRadix = 0 + +; VSIM Startup command +; Startup = do startup.do + +; VSIM Shutdown file +; Filename to save u/i formats and configurations. +; ShutdownFile = restart.do +; To explicitly disable auto save: +; ShutdownFile = --disable-auto-save + +; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified. +; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0. +; BatchMode = 1 + +; File for saving command transcript when -batch option used +; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero +; default is unset so command transcript only goes to stdout for better performance +; BatchTranscriptFile = transcript + +; File for saving command transcript, this option is ignored when -batch option is used +TranscriptFile = transcript + +; Transcript file long line wrapping mode(s) +; mode == 0 :: no wrapping, line recorded as is +; mode == 1 :: wrap at first whitespace after WSColumn +; or at Column. +; mode == 2 :: wrap as above, but add continuation +; character ('\') at end of each wrapped line +; +; WrapMode = 0 +; WrapColumn = 30000 +; WrapWSColumn = 27000 + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable SystemVerilog assertion messages +; IgnoreSVAInfo = 1 +; IgnoreSVAWarning = 1 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Do not print any additional information from Severity System tasks. +; Only the message provided by the user is printed along with severity +; information. +; SVAPrintOnlyUserMessage = 1; + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; Control the iteration of events when a VHDL signal is forced to a value +; This flag can be set to honour the signal update event in next iteration, +; the default is to update and propagate in the same iteration. +; ForceSigNextIter = 1 + +; Enable simulation statistics. Specify one or more arguments: +; [all,none,time,cmd,msg,perf,verbose,list,kb,eor] +; Add '-' to disable specific statistics. Default is [time,cmd,msg]. +; Stats = time,cmd,msg + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; If nonzero, close files as soon as there is either an explicit call to +; file_close, or when the file variable's scope is closed. When zero, a +; file opened in append mode is not closed in case it is immediately +; reopened in append mode; otherwise, the file will be closed at the +; point it is reopened. +; AppendClose = 1 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from accelerated versions of the std_logic_arith, +; std_logic_unsigned, and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from accelerated versions of the IEEE numeric_std +; and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names +; in the design hierarchy. +; This style is controlled by the value of the GenerateFormat +; value described next. Default is to use new-style names, which +; comprise the generate statement label, '(', the value of the generate +; parameter, and a closing ')'. +; Set this to 1 to use old-style names. +; OldVhdlForGenNames = 1 + +; Control the format of the old-style VHDL FOR generate statement region +; name for each iteration. Do not quote the value. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate statement label; the %d represents the generate parameter value +; at a particular iteration (this is the position number if the generate parameter +; is of an enumeration type). Embedded whitespace is allowed (but discouraged); +; leading and trailing whitespace is ignored. +; Application of the format must result in a unique region name over all +; loop iterations for a particular immediately enclosing scope so that name +; lookup can function properly. The default is %s__%d. +; GenerateFormat = %s__%d + +; Enable more efficient logging of VHDL Variables. +; Logging VHDL variables without this enabled, while possible, is very +; inefficient. Enabling this will provide a more efficient logging methodology +; at the expense of more memory usage. By default this feature is disabled (0). +; To enabled this feature, set this variable to 1. +; VhdlVariableLogging = 1 + +; Enable logging of VHDL access type variables and their designated objects. +; This setting will allow both variables of an access type ("access variables") +; and their designated objects ("access objects") to be logged. Logging a +; variable of an access type will automatically also cause the designated +; object(s) of that variable to be logged as the simulation progresses. +; Further, enabling this allows access objects to be logged by name. By default +; this feature is disabled (0). To enable this feature, set this variable to 1. +; Enabling this will automatically enable the VhdlVariableLogging feature also. +; AccessObjDebug = 1 + +; Make each VHDL package in a PDU has its own separate copy of the package instead +; of sharing the package between PDUs. The default is to share packages. +; To ensure that each PDU has its own set of packages, set this variable to 1. +; VhdlSeparatePduPackage = 1 + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. +; Use custom gcc compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; DpiCppPath = /bin/gcc +; +; Specify the compiler version from the list of support GNU compilers. +; examples 4.7.4, 5.3.0, 7.4.0 +; DpiCppInstall = 7.4.0 + +; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. +; The term "out-of-the-blue" refers to SystemVerilog export function calls +; made from C functions that don't have the proper context setup +; (as is the case when running under "DPI-C" import functions). +; When this is enabled, one can call a DPI export function +; (but not task) from any C code. +; the setting of this variable can be one of the following values: +; 0 : dpioutoftheblue call is disabled (default) +; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. +; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. +; DpiOutOfTheBlue = 1 + +; Specify whether continuous assignments are run before other normal priority +; processes scheduled in the same iteration. This event ordering minimizes race +; differences between optimized and non-optimized designs, and is the default +; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set +; ImmediateContinuousAssign to 0. +; The default is 1 (enabled). +; ImmediateContinuousAssign = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +; Which default VPI object model should the tool conform to? +; The 1364 modes are Verilog-only, for backwards compatibility with older +; libraries, and SystemVerilog objects are not available in these modes. +; +; In the absence of a user-specified default, the tool default is the +; latest available LRM behavior. +; Options for PliCompatDefault are: +; VPI_COMPATIBILITY_VERSION_1364v1995 +; VPI_COMPATIBILITY_VERSION_1364v2001 +; VPI_COMPATIBILITY_VERSION_1364v2005 +; VPI_COMPATIBILITY_VERSION_1800v2005 +; VPI_COMPATIBILITY_VERSION_1800v2008 +; +; Synonyms for each string are also recognized: +; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) +; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) +; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) +; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) +; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) + + +; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 + +; Specify whether the Verilog system task $fopen or vpi_mcd_open() +; will create directories that do not exist when opening the file +; in "a" or "w" mode. +; The default is 0 (do not create non-existent directories) +; CreateDirForFileAccess = 1 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + + +; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. +; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe. +; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". +; The list of options must be delimited by commas, without spaces or tabs. +; +; Some examples +; To turn on all available UVM-aware debug features: +; UVMControl = all +; To turn on the struct window, mesage logging, and transaction logging: +; UVMControl = struct,msglog,trlog +; To turn on all options except certe: +; UVMControl = all,-certe +; To completely disable all UVM-aware debug functionality: +; UVMControl = disable + +; Specify the WildcardFilter setting. +; A space separated list of object types to be excluded when performing +; wildcard matches with log, wave, etc commands. The default value for this variable is: +; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" +; See "Using the WildcardFilter Preference Variable" in the documentation for +; details on how to use this variable and for descriptions of the filter types. +WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile + +; Specify the WildcardSizeThreshold setting. +; This integer setting specifies the size at which objects will be excluded when +; performing wildcard matches with log, wave, etc commands. Objects of size equal +; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard +; matches. The size is a simple calculation of number of bits or items in the object. +; The default value is 8k (8192). Setting this value to 0 will disable the checking +; of object size against this threshold and allow all objects of any size to be logged. +WildcardSizeThreshold = 8192 + +; Specify whether warning messages are output when objects are filtered out due to the +; WildcardSizeThreshold. The default is 0 (no messages generated). +WildcardSizeThresholdVerbose = 0 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify whether to lock the WLF file. +; Locking the file prevents other invocations of ModelSim/Questa tools from +; inadvertently overwriting the WLF file. +; The default is 1, lock the WLF file. +; WLFFileLock = 0 + +; Specify the update interval for the WLF file in live simulation. +; The interval is given in seconds. +; The value is the smallest interval between WLF file updates. The WLF file +; will be flushed (updated) after (at least) the interval has elapsed, ensuring +; that the data is correct when viewed from a separate viewer. +; A value of 0 means that no updating will occur. +; The default value is 10 seconds. +; WLFUpdateInterval = 10 + +; Specify the WLF cache size limit for WLF files. +; The value is given in megabytes. A value of 0 turns off the cache. +; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes). +; On Windows, the default value is 1000 (megabytes) to help to avoid filling +; process memory. +; WLFSimCacheSize allows a different cache size to be set for a live simulation +; WLF file, independent of post-simulation WLF file viewing. If WLFSimCacheSize +; is not set, it defaults to the WLFCacheSize value. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines. +; If 0, no threads will be used; if 1, threads will be used if the system has +; more than one processor. +; WLFUseThreads = 1 + +; Specify the size of objects that will trigger "large object" messages +; at log/wave/list time. The size calculation of the object is the same as that +; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. +; Setting LargeObjectSize to 0 will disable these messages. +; LargeObjectSize = 500000 + +; Specify the depth of stack frames returned by $stacktrace([level]). +; This depth will be picked up when the optional 'level' argument +; is not specified or its value is not a positive integer. +; StackTraceDepth = 100 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; For SystemC-2.3.2 the valid values are 0,1 and 2 +; 0 = SC_SIGNAL_WRITE_CHECK_DISABLE_ +; 1 = SC_SIGNAL_WRITE_CHECK_DEFAULT_ +; 2 = SC_SIGNAL_WRITE_CHECK_CONFLICT_ +; For SystemC-2.2 the valid values are 0 and 1 +; 0 = DISABLE +; 1 = ENABLE +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Set SystemC thread stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). The stack size for sc_thread depends +; on the amount of data on the sc_thread stack and the memory required +; to succesfully execute the thread. +; ScStackSize = 1 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Enable calling of the DPI export taks/functions from the +; SystemC start_of_simulation() callback. +; The default is off. +; EnableDpiSosCb = 1 + + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; "final" -- Run SystemVerilog final blocks then behave as "stop". +; Note: This variable can be overridden with the vsim "-onfinish" command line switch. +OnFinish = ask + +; Print pending deferred assertion messages. +; Deferred assertion messages may be scheduled after the $finish in the same +; time step. Deferred assertions scheduled to print after the $finish are +; printed before exiting with severity level NOTE since it's not known whether +; the assertion is still valid due to being printed in the active region +; instead of the reactive region where they are normally printed. +; OnFinishPendingAssert = 1; + +; Print "simstats" result. Default is 0. +; 0 == do not print simstats +; 1 == print at end of simulation +; 2 == print at end of each run command and end of simulation +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Enable assertion counts. Default is off. +; AssertionCounts = 1 + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. +; AssertionEnable = 0 + +; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionLimit = 1 + +; Turn on/off concurrent assertion pass log. Default is off. +; Assertion pass logging is only enabled when assertion is browseable +; and assertion debug is enabled. +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. +; AssertionFailLocalVarLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + +; Assertion thread limit after which assertion would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for an assertion go +; beyond this limit, the assertion would be either switched off or killed. This +; limit applies to only assert directives. +;AssertionThreadLimit = -1 + +; Action to be taken once the assertion thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only assert directives. +;AssertionThreadLimitAction = kill + +; Cover thread limit after which cover would be killed/switched off. +; The default is -1 (unlimited). If the number of threads for a cover go +; beyond this limit, the cover would be either switched off or killed. This +; limit applies to only cover directives. +;CoverThreadLimit = -1 + +; Action to be taken once the cover thread limit is reached. Default +; is kill. It can have a value of off or kill. In case of kill, all the existing +; threads are terminated and no new attempts are started. In case of off, the +; existing attempts keep on evaluating but no new attempts are started. This +; variable applies to only cover directives. +;CoverThreadLimitAction = kill + + +; By default immediate assertions do not participate in Assertion Coverage calculations +; unless they are executed. This switch causes all immediate assertions in the design +; to participate in Assertion Coverage calculations, whether attempted or not. +; UnattemptedImmediateAssertions = 0 + +; By default immediate covers participate in Coverage calculations +; whether they are attempted or not. This switch causes all unattempted +; immediate covers in the design to stop participating in Coverage +; calculations. +; UnattemptedImmediateCovers = 0 + +; By default pass action block is not executed for assertions on vacuous +; success. The following variable is provided to enable execution of +; pass action block on vacuous success. The following variable is only effective +; if the user does not disable pass action block execution by using either +; system tasks or CLI. Also there is a performance penalty for enabling +; the following variable. +;AssertionEnableVacuousPassActionBlock = 1 + +; As per strict 1850-2005 PSL LRM, an always property can either pass +; or fail. However, by default, Questa reports multiple passes and +; multiple fails on top always/never property (always/never operator +; is the top operator under Verification Directive). The reason +; being that Questa reports passes and fails on per attempt of the +; top always/never property. Use the following flag to instruct +; Questa to strictly follow LRM. With this flag, all assert/never +; directives will start an attempt once at start of simulation. +; The attempt can either fail, match or match vacuously. +; For e.g. if always is the top operator under assert, the always will +; keep on checking the property at every clock. If the property under +; always fails, the directive will be considered failed and no more +; checking will be done for that directive. A top always property, +; if it does not fail, will show a pass at end of simulation. +; The default value is '0' (i.e. zero is off). For example: +; PslOneAttempt = 1 + +; Specify the number of clock ticks to represent infinite clock ticks. +; This affects eventually!, until! and until_!. If at End of Simulation +; (EOS) an active strong-property has not clocked this number of +; clock ticks then neither pass or fail (vacuous match) is returned +; else respective fail/pass is returned. The default value is '0' (zero) +; which effectively does not check for clock tick condition. For example: +; PslInfinityThreshold = 5000 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; This option applies to condition and expression coverage UDP tables. It +; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. +; If this option is used and a match occurs in more than one row in the UDP table, +; none of the counts for all matching rows is incremented. By default, counts are +; incremented for all matching rows. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Set the maximum number of values that are collected for toggle coverage of +; Verilog real. Default is 100; +; ToggleMaxRealValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to include them. +; ToggleVlogIntegers = 0 + +; Turn on automatic inclusion of Verilog real type in toggle coverage, except +; for shortreal types. Default is to not include them. +; ToggleVlogReal = 1 + +; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays +; and VHDL arrays-of-arrays in toggle coverage. +; Default is to not include them. +; ToggleFixedSizeArray = 1 + +; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, +; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. +; This leads to a longer simulation time with bigger arrays covered with toggle coverage. +; Default is 1024. +; ToggleMaxFixedSizeArray = 1024 + +; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized +; one-dimensional packed vectors for toggle coverage. Default is 0. +; TogglePackedAsVec = 0 + +; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for +; toggle coverage. Default is 0. +; ToggleVlogEnumBits = 0 + +; Turn off automatic inclusion of VHDL records in toggle coverage. +; Default is to include them. +; ToggleVHDLRecords = 0 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. +; Following is the toggle coverage calculation criteria based on extended toggle mode: +; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). +; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. +; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. +; ExtendedToggleMode = 3 + +; Enable toggle statistics collection only for ports. Default is 0. +; TogglePortsOnly = 1 + +; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; FecCountLimit = 1 + +; Limit the counts that are tracked for UDP Coverage. When a bin has +; reached this count, further tracking of the input patterns linked to it is ignored. +; Default is 1. For unlimited counts, set to 0. +; NOTE: Changing this value from its default value may affect simulation performance. +; UdpCountLimit = 1 + +; Control toggle coverage deglitching period. A period of 0, eliminates delta +; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either +; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". +; ToggleDeglitchPeriod = 10.0ps + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off "0". +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. +; Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this +; setting. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the threshold of Coverpoint wildcard bin value range size, above which +; a warning will be triggered. The default is 4K -- 12 wildcard bits. +; SVCoverpointWildCardBinValueSizeWarn = 4096 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() +; builtin functions, and report. This setting changes the default values of +; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 +; behavior if explicit assignments are not made on option.get_inst_coverage and +; type_option.merge_instances by the user. There are two vsim command line +; options, -cvg63 and -nocvg63 to override this setting from vsim command line. +; The default value of this variable from release 6.6 onwards is 0. This default +; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. +; SVCovergroup63Compatibility = 0 + +; Enforce the default behavior of covergroup get_coverage() builtin function, GUI +; and report. This variable sets the default value of type_option.merge_instances. +; There are two vsim command line options, -cvgmergeinstances and +; -nocvgmergeinstances to override this setting from vsim command line. +; The default value of this variable, -1 (don't care), allows the tool to determine +; the effective value, based on factors related to capacity and optimization. +; The type_option.merge_instances appears in the GUI and coverage reports as either +; auto(1) or auto(0), depending on whether the effective value was determined to +; be a 1 or a 0. +; SVCovergroupMergeInstancesDefault = -1 + +; Enable or disable generation of more detailed information about the sampling +; of covergroup, cross, and coverpoints. It provides the details of the number +; of times the covergroup instance and type were sampled, as well as details +; about why covergroup, cross and coverpoint were not covered. A non-zero value +; is to enable this feature. 0 is to disable this feature. Default is 0 +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins +; MaxSVCoverpointBinsInst = 1048576 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins +; MaxSVCrossBinsInst = 67108864 + +; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. +; By default, this variable is set 0, in which case option.no_collect setting will take effect. +; If this variable is set to 1, all zero-weight coverage items will not be saved. +; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting +; of this variable. +; CvgZWNoCollect = 1 + +; Specify a space delimited list of double quoted TCL style +; regular expressions which will be matched against the text of all messages. +; If any regular expression is found to be contained within any message, the +; status for that message will not be propagated to the UCDB TESTSTATUS. +; If no match is detected, then the status will be propagated to the +; UCDB TESTSTATUS. More than one such regular expression text is allowed, +; and each message text is compared for each regular expression in the list. +; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Generate the stub definitions for the undefined symbols in the shared libraries being +; loaded in the simulation. When this flow is turned on, the undefined symbols will not +; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error. +; The valid arguments are: on, off, verbose. +; on : turn on the automatic generation of stub definitions. +; off: turn off the flow. The undefined symbols will trigger an immediate load failure. +; verbose: Turn on the flow and report the undefined symbols for each shared library. +; NOTE: This variable can be overriden with vsim switch "-undefsyms". +; The default is on. +; +; UndefSyms = off + +; Enable the support for checkpointing foreign C/C++ libraries. +; The valid arguments are: 0, 1, 2 +; 0: off (default) +; 1: on (manually save/restore user shared library data) +; 2: auto (automatically save/restore user shared library data) +; This option is not supported on the Windows platforms. +; +; AllowCheckpointCpp = 2 + +; Initial seed for the random number generator of the root thread (SystemVerilog). +; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. +; The default value is 0. +; Sv_Seed = 0 + +; Specify the solver "engine" that vsim will select for constrained random +; generation. +; Valid values are: +; "auto" - automatically select the best engine for the current +; constraint scenario +; "bdd" - evaluate all constraint scenarios using the BDD solver engine +; "act" - evaluate all constraint scenarios using the ACT solver engine +; While the BDD solver engine is generally efficient with constraint scenarios +; involving bitwise logical relationships, the ACT solver engine can exhibit +; superior performance with constraint scenarios involving large numbers of +; random variables related via arithmetic operators (+, *, etc). +; NOTE: This variable can be overridden with the vsim "-solveengine" command +; line switch. +; The default value is "auto". +; SolveEngine = auto + +; Specifies the maximum size that a dynamic array may be resized to by the +; solver. If the solver attempts to resize a dynamic array to a size greater +; than the specified limit, the solver will abort with an error. +; The default value is 10000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 10000 + +; Specify error message severity when randomize() and randomize(null) failures +; are detected. +; +; Integer value up to two digits are allowed with each digit having the following legal values: +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; +; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents +; the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit) +; represents the setting for randomize(null) calls. +; +; 2) When a single digit value is used, the setting is applied to both normal randomize() call +; and randomize(null) call. +; +; Example: Fatal error for randomize() failures and NO error for randomize(null) failures +; -solvefailseverity=40 +; +; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is +; enabled, a constraint contradiction report will be displayed for randomize() calls that +; have a message severity >= warning (i.e. constraint contradiction reports will not be +; generated for randomize() calls having a "no error" severity level) +; +; NOTE: This variable can be overridden with the vsim "-solvefailseverity" command +; line switch. +; +; The default is 1 (warning). +; SolveFailSeverity = 1 + +; Error message severity for suppressible errors that are detected in a +; solve/before constraint. +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" +; command line switch. +; The default is 3 (failure). +; SolveBeforeErrorSeverity = 3 + +; Error message severity for suppressible errors that are related to +; solve engine capacity limits +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity" +; command line switch. +; The default is 3 (failure). +; SolveEngineErrorSeverity = 3 + +; Enable/disable constraint conflicts on randomize() failure +; Valid values: +; 0 - disable solvefaildebug +; 1 - basic debug (no performance penalty) +; 2 - enhanced debug (runtime performance penalty) +; +; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is +; enabled, a constraint contradiction report will be displayed for randomize() calls that +; have a message severity >= warning (i.e. constraint contradiction reports will not be +; generated for randomize() calls having a "no error" severity level) +; +; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command +; line switch. +; +; The default is 1 (basic debug). +; SolveFailDebug = 1 + +; Upon encountering a randomize() failure, generate a simplified testcase that +; will reproduce the failure. Optionally output the testcase to a file. +; Testcases for 'no-solution' failures will only be produced if SolveFailDebug +; is enabled (see above). +; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" +; command line switch. +; The default is OFF (do not generate a testcase). To enable testcase +; generation, uncomment this variable. To redirect testcase generation to a +; file, specify the name of the output file. +; SolveFailTestcase = + +; Specify solver timeout threshold (in seconds). randomize() will fail if the +; CPU time required to evaluate any randset exceeds the specified timeout. +; The default value is 500. A value of 0 will disable timeout failures. +; SolveTimeout = 500 + +; Specify the alternative behavior during solver replay. Must be used when combined with -solvereplay switch. +; SolveReplayOpt=[+|-][,[+|-]]*" +' Valid settings: +; validate : toggle the checking of value changes of non-random variables involved in randomize(). (default is off)" +; SolveReplayOpt=validate + +; Specify the maximum size of the solution graph generated by the BDD solver. +; This value can be used to force the BDD solver to abort the evaluation of a +; complex constraint scenario that cannot be evaluated with finite memory. +; This value is specified in 1000s of nodes. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Specify the maximum number of evaluations that may be performed on the +; solution graph by the BDD solver. This value can be used to force the BDD +; solver to abort the evaluation of a complex constraint scenario that cannot +; be evaluated in finite time. This value is specified in 10000s of evaluations. +; The default value is 10000. A value of 0 indicates no limit. +; SolveGraphMaxEval = 10000 + +; Specify random sequence compatiblity with a prior release. This +; option is used to get the same random sequences during simulation as +; as a prior release. Only prior releases with the same major version +; as the current release are allowed. +; NOTE: Only those random sequence changes due to solver optimizations are +; reverted by this variable. Random sequence changes due to solver bugfixes +; cannot be un-done. +; NOTE: This variable can be overridden with the vsim "-solverev" command +; line switch. +; Default value set to "" (no compatibility). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Specify the memory threshold for the System Verilog garbage collector. +; The value is the number of megabytes of class objects that must accumulate +; before the garbage collector is run. +; The GCThreshold setting is used when class debug mode is disabled to allow +; less frequent garbage collection and better simulation performance. +; The GCThresholdClassDebug setting is used when class debug mode is enabled +; to allow for more frequent garbage collection. +; GCThreshold = 100 +; GCThresholdClassDebug = 5 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +; Location of Multi-Level Verification Component (MVC) installation. +; The default location is the product installation directory. +MvcHome = $QUESTA_MVC_HOME + +; Location of InFact installation. The default is $MODEL_TECH/../../infact +; +; InFactHome = $MODEL_TECH/../../infact + +; Initialize SystemVerilog enums using the base type's default value +; instead of the leftmost value. +; EnumBaseInit = 1 + +; Suppress file type registration. +; SuppressFileTypeReg = 1 + +; Enable/disable non-LRM compliant SystemVerilog language extensions. +; Valid extensions are: +; altdpiheader - Alternative style function signature generated in DPI header", +; cfce - generate an error if $cast fails as a function +; cfmt - C like formatting for specifiers with '#' prefix ('%#x', '%#h') +; dfsp - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks +; expdfmt - enable format string extensions for $display/$sformatf +; extscan - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi) +; fmtcap - prints capital hex digits with %X/%H in display calls +; iddp - ignore DPI disable protocol check +; lfmt - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h") +; noexptc - ignore DPI export type name overloading check +; realrand - support randomize() with real variables and constraints (Default) +; SvExtensions = [+|-][,[+|-]*] + +; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions. +; Valid extensions are: +; arraymode - consider rand_mode of unpacked array field independently from its elements +; deepcheck - allow randomize(null) to recursively consider constraints from member rand class handles (Default) +; funcback - enable function backtracking (ACT only) +; genmodseedfix - enable LRM-compliant seeding of module/interface instances under for-generate blocks (Default) +; nodist - interpret 'dist' constraint as 'inside' (ACT only) +; noorder - ignore solve/before ordering constraints (ACT only) +; pathseed - enable unique seeding of module instances based on hierarchical path name +; prerandfirst - execute all pre_randomize() functions before evaluating any constraints +; promotedist - promote priority of 'dist' constraint if LHS has no solve/before +; purecheck - suppress pre_randomize() and post_randomize() calls for randomize(null) +; randindex - allow random index in constraint (Default) +; randstruct - consider all fields of unpacked structs as 'rand' +; skew - skew randomize results (ACT only) +; strictstab - strict random stability +; SvRandExtensions = [+|-][,[+|-]*] + +; Controls the formatting of '%p' and '%P' conversion specification, used in $display +; and similar system tasks. +; 1. SVPrettyPrintFlags=I use spaces(S) or tabs(T) per indentation level. +; The 'I' flag when present causes relevant data types to be expanded and indented into +; a more readable format. +; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level). +; 2. SVPrettyPrintFlags=L limits the output to lines. +; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines). +; 3. SVPrettyPrintFlags=C limits the output to characters. +; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters). +; 4. SVPrettyPrintFlags=F limits the output to of relevant datatypes +; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure). +; 5. SVPrettyPrintFlags=E limits the output to of relevant datatypes +; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array). +; 6. SVPrettyPrintFlags=D suppresses the output of sub-elements below . +; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5). +; 7. SVPrettyPrintFlags=R shows the output of specifier %p as per the specifed radix. +; It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)). +; (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format. +; 8. Items 1-7 above can be combined as a comma separated list. +; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb) +; SVPrettyPrintFlags=I4S + +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = /lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = /lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; suppress can be used to achieve +nowarn functionality +; The format is: suppress = ,,[,,...] +; Examples: +suppress = 8780 ;an explanation can be had by running: verror 8780 +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3601 +; suppress = 3009,CNNODP,3601,TFMPC +; suppress = 8683,8684 +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of Verilog display system task messages and +; PLI/FLI print function call messages. The system tasks include +; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They +; also include the analogous file I/O tasks that write to STDOUT +; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, +; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default +; is to have messages appear only in the transcript. The other +; settings are to send messages to the wlf file only (messages that +; are recorded in the wlf file can be viewed in the MsgViewer) or +; to both the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + +; Control transcripting of elaboration/runtime messages not +; addressed by the displaymsgmode setting. The default is to +; have messages appear only in the transcript. The other settings +; are to send messages to the wlf file only (messages that are +; recorded in the wlf file can be viewed in the MsgViewer) or to both +; the transcript and the wlf file. The valid values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; msgmode = tran + +; Controls number of displays of a particluar message +; default value is 5 +; MsgLimitCount = 5 + +[utils] +; Default Library Type (while creating a library with "vlib") +; 0 - legacy library using subdirectories for design units +; 2 - flat library +; DefaultLibType = 2 + +; Flat Library Page Size (while creating a library with "vlib") +; Set the size in bytes for flat library file pages. Libraries containing +; very large files may benefit from a larger value. +; FlatLibPageSize = 8192 + +; Flat Library Page Cleanup Percentage (while creating a library with "vlib") +; Set the percentage of total pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeleteThreshold. +; FlatLibPageDeletePercentage = 50 + +; Flat Library Page Cleanup Threshold (while creating a library with "vlib") +; Set the number of pages deleted before library cleanup can occur. +; This setting is applied together with FlatLibPageDeletePercentage. +; FlatLibPageDeleteThreshold = 1000 + +[Project] +** Warning: ; Warning -- Do not edit the project properties directly. +; Property names are dynamic in nature and property +; values have special syntax. Changing property data directly +; can result in a corrupt MPF file. All project properties +; can be modified through project window dialogs. +Project_Version = 6 +Project_DefaultLib = work +Project_SortMethod = unused +Project_Files_Count = 12 +Project_File_0 = /home/adrian/trbvhdl/trbnet/trb_net_std.vhd +Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1596699715 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 3 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_1 = /home/adrian/trbvhdl/trbnet/basics/pulse_sync.vhd +Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1587396760 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 8 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_File_2 = /home/adrian/trbvhdl/cri/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.vhd +Project_File_P_2 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1614599137 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 11 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_File_3 = /home/adrian/trbvhdl/trbnet/trb_net_components.vhd +Project_File_P_3 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1611048635 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 4 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_File_4 = /home/adrian/trbvhdl/cri/src/cri_trbnet_dca_bridge.vhd +Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1614689582 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 0 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_File_5 = /home/adrian/trbvhdl/trbnet/basics/signal_sync.vhd +Project_File_P_5 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1587396760 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 7 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_6 = /home/adrian/trbvhdl/cri/src/cri_trbnet_dca_bridge_handler.vhd +Project_File_P_6 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1614685372 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 1 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_File_7 = /home/adrian/trbvhdl/cri/src/agwb_handler_dca_sim.vhd +Project_File_P_7 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1614594725 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 6 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_8 = /home/adrian/trbvhdl/cri/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.vhd +Project_File_P_8 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1614244548 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 9 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_File_9 = /home/adrian/trbvhdl/cri/src/DCA_bridge_tb/wishbone_pkg.vhd +Project_File_P_9 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1614592276 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 5 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_10 = /home/adrian/trbvhdl/cri/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.vhd +Project_File_P_10 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1614599180 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 10 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_File_11 = /home/adrian/trbvhdl/cri/src/DCA_bridge_tb/DCA_bridge_tb.vhd +Project_File_P_11 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1614689813 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 2 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_Sim_Count = 0 +Project_Folder_Count = 0 +Echo_Compile_Output = 0 +Save_Compile_Report = 1 +Project_Opt_Count = 0 +ForceSoftPaths = 0 +ProjectStatusDelay = 5000 +VERILOG_DoubleClick = Edit +VERILOG_CustomDoubleClick = +SYSTEMVERILOG_DoubleClick = Edit +SYSTEMVERILOG_CustomDoubleClick = +VHDL_DoubleClick = Edit +VHDL_CustomDoubleClick = +PSL_DoubleClick = Edit +PSL_CustomDoubleClick = +TEXT_DoubleClick = Edit +TEXT_CustomDoubleClick = +SYSTEMC_DoubleClick = Edit +SYSTEMC_CustomDoubleClick = +TCL_DoubleClick = Edit +TCL_CustomDoubleClick = +MACRO_DoubleClick = Edit +MACRO_CustomDoubleClick = +VCD_DoubleClick = Edit +VCD_CustomDoubleClick = +SDF_DoubleClick = Edit +SDF_CustomDoubleClick = +XML_DoubleClick = Edit +XML_CustomDoubleClick = +LOGFILE_DoubleClick = Edit +LOGFILE_CustomDoubleClick = +UCDB_DoubleClick = Edit +UCDB_CustomDoubleClick = +TDB_DoubleClick = Edit +TDB_CustomDoubleClick = +UPF_DoubleClick = Edit +UPF_CustomDoubleClick = +PCF_DoubleClick = Edit +PCF_CustomDoubleClick = +PROJECT_DoubleClick = Edit +PROJECT_CustomDoubleClick = +VRM_DoubleClick = Edit +VRM_CustomDoubleClick = +DEBUGDATABASE_DoubleClick = Edit +DEBUGDATABASE_CustomDoubleClick = +DEBUGARCHIVE_DoubleClick = Edit +DEBUGARCHIVE_CustomDoubleClick = +Project_Major_Version = 2020 +Project_Minor_Version = 4 diff --git a/src/DCA_bridge_tb/DCA_bridge_tb.vhd b/src/DCA_bridge_tb/DCA_bridge_tb.vhd new file mode 100644 index 0000000..44f2a1d --- /dev/null +++ b/src/DCA_bridge_tb/DCA_bridge_tb.vhd @@ -0,0 +1,264 @@ + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library work; +use work.trb_net_std.all; +use IEEE.NUMERIC_STD.ALL; +use work.wishbone_pkg.ALL; + + +entity DCA_bridge_tb is +end DCA_bridge_tb; + +architecture DCA_bridge_tb_arch of DCA_bridge_tb is + +signal CLK, CLK_inv : std_logic := '0'; +constant CLK_PERIOD : time := 10 ns; + +signal CLK_DCA, CLK_DCA_inv : std_logic := '0'; +constant CLK_DCA_PERIOD : time := 25 ns; -- 40MHz + +-- entity related: +signal wb_slave_in : t_wishbone_slave_in; +signal wb_slave_out : t_wishbone_slave_out; + +signal reset_i : std_logic; + +signal DCA_INIT_READ_IN_i : std_logic; + +begin + + + THE_DCA_Bridge : entity work.cri_trbnet_dca_bridge + port map( + CLK => CLK, + RESET => reset_i, + CLK_DCA => CLK_DCA, + + -- DCA INTERFACE + WB_SLAVE_IN => wb_slave_in, + WB_SLAVE_OUT => wb_slave_out, + + -- protocol specific ports + DCA_CLK_IN => '1', + DCA_INIT_DATAREADY_OUT => open, + DCA_INIT_DATA_OUT => open, + DCA_INIT_PACKET_NUM_OUT => open, + DCA_INIT_READ_IN => DCA_INIT_READ_IN_i, + + DCA_REPLY_DATAREADY_IN => '0', + DCA_REPLY_DATA_IN => x"1234", + DCA_REPLY_PACKET_NUM_IN => "000", + DCA_REPLY_READ_OUT => open, + DCA_BUSY_IN => '0', + + MAKE_RESET_OUT => open, + CFG_MAX_REPLY_SIZE_IN => x"FFFFFFFF", + DEBUG_OUT => open + ); + + + CLK_PROC : process is + begin + CLK <= '1'; + CLK_inv <= '0'; + wait for CLK_PERIOD / 2; + CLK_inv <= '1'; + CLK <= '0'; + wait for CLK_PERIOD / 2; + end process; + + CLK_DCA_PROC : process is + begin + CLK_DCA <= '1'; + CLK_DCA_inv <= '0'; + wait for CLK_DCA_PERIOD / 2; + CLK_DCA_inv <= '1'; + CLK_DCA <= '0'; + wait for CLK_DCA_PERIOD / 2; + end process; + + + proc_ResetIn : process is + begin + reset_i <= '1'; + wait for 20 ns; + reset_i <= '0'; + wait for 10000 ns; + end process; + + proc_DATA_READ_DCA : process + begin + DCA_INIT_READ_IN_i <= '0'; + wait for 400 ns; + DCA_INIT_READ_IN_i <= '1'; + wait for 350 ns; + end process; + +-- proc_DataIn_RESET : process +-- begin +-- wait for 50 ns; +-- wb_slave_in.adr <= x"0000_0000" ; +-- wb_slave_in.dat <= x"8030_0100" ; +-- wb_slave_in.stb <= '1' ; +-- wb_slave_in.cyc <= '1' ; +-- wb_slave_in.we <= '1'; +-- wait for 25 ns; +-- wb_slave_in.stb <= '0' ; +-- wb_slave_in.cyc <= '0' ; +-- wait for 25 ns; +-- -- +-- wait for 50 ns; +-- wb_slave_in.adr <= x"0000_0000" ; +-- wb_slave_in.dat <= x"0000_5555" ; +-- wb_slave_in.stb <= '1' ; +-- wb_slave_in.cyc <= '1' ; +-- wb_slave_in.we <= '1'; +-- wait for 25 ns; +-- wb_slave_in.stb <= '0' ; +-- wb_slave_in.cyc <= '0' ; +-- wait for 25 ns; +-- -- +-- wait for 100 ns; +-- wb_slave_in.adr <= x"0000_0001" ; +-- wb_slave_in.dat <= x"000f_0000" ; +-- wb_slave_in.stb <= '1' ; +-- wb_slave_in.cyc <= '1' ; +-- wb_slave_in.we <= '1'; +-- wait for 25 ns; +-- wb_slave_in.stb <= '0' ; +-- wb_slave_in.cyc <= '0' ; +-- -- +-- wait for 200 ns; +-- wb_slave_in.adr <= x"0000_0003" ; +-- wb_slave_in.dat <= x"0000_0000" ; +-- wb_slave_in.stb <= '1' ; +-- wb_slave_in.cyc <= '1' ; +-- wb_slave_in.we <= '0'; +-- wait for 25 ns; +-- wb_slave_in.stb <= '0' ; +-- wb_slave_in.cyc <= '0' ; +-- -- +-- wait for 200 ns; +-- wb_slave_in.adr <= x"0000_0003" ; +-- wb_slave_in.dat <= x"0000_0000" ; +-- wb_slave_in.stb <= '1' ; +-- wb_slave_in.cyc <= '1' ; +-- wb_slave_in.we <= '0'; +-- wait for 25 ns; +-- wb_slave_in.stb <= '0' ; +-- wb_slave_in.cyc <= '0' ; +-- wait for 800 ns; +-- end process; + + proc_DataIn_readReg : process + begin + wait for 50 ns; + wb_slave_in.adr <= x"0000_0000" ; + wb_slave_in.dat <= x"0031_0105" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '1'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; + wait for 25 ns; +-- + wait for 50 ns; + wb_slave_in.adr <= x"0000_0000" ; + wb_slave_in.dat <= x"8200_5555" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '1'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; + wait for 25 ns; +-- + wait for 100 ns; + wb_slave_in.adr <= x"0000_0000" ; + wb_slave_in.dat <= x"0008_0000" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '1'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; +-- + wait for 100 ns; + wb_slave_in.adr <= x"0000_0000" ; + wb_slave_in.dat <= x"0010_0030" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '1'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; +-- + wait for 100 ns; + wb_slave_in.adr <= x"0000_0000" ; + wb_slave_in.dat <= x"0000_0000" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '1'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; +-- + wait for 100 ns; + wb_slave_in.adr <= x"0000_0000" ; + wb_slave_in.dat <= x"0033_0000" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '1'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; +-- + wait for 100 ns; + wb_slave_in.adr <= x"0000_0000" ; + wb_slave_in.dat <= x"0000_0000" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '1'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; + +-- + wait for 100 ns; + wb_slave_in.adr <= x"0000_0001" ; + wb_slave_in.dat <= x"0008_0000" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '1'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; + + + +-- START POLL + wait for 200 ns; + wb_slave_in.adr <= x"0000_0003" ; + wb_slave_in.dat <= x"0000_0000" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '0'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; +-- + wait for 200 ns; + wb_slave_in.adr <= x"0000_0003" ; + wb_slave_in.dat <= x"0000_0000" ; + wb_slave_in.stb <= '1' ; + wb_slave_in.cyc <= '1' ; + wb_slave_in.we <= '0'; + wait for 25 ns; + wb_slave_in.stb <= '0' ; + wb_slave_in.cyc <= '0' ; + wait for 800 ns; + end process; + +end DCA_bridge_tb_arch; diff --git a/src/DCA_bridge_tb/transcript b/src/DCA_bridge_tb/transcript new file mode 100644 index 0000000..94cc482 --- /dev/null +++ b/src/DCA_bridge_tb/transcript @@ -0,0 +1,6203 @@ +# // Questa Sim-64 +# // Version 2020.4 linux_x86_64 Oct 13 2020 +# // +# // Copyright 1991-2020 Mentor Graphics Corporation +# // All Rights Reserved. +# // +# // QuestaSim and its associated documentation contain trade +# // secrets and commercial or financial information that are the property of +# // Mentor Graphics Corporation and are privileged, confidential, +# // and exempt from disclosure under the Freedom of Information Act, +# // 5 U.S.C. Section 552. Furthermore, this information +# // is prohibited from disclosure under the Trade Secrets Act, +# // 18 U.S.C. Section 1905. +# // +# Loading project DCA_bridge_tb +# Error opening /home/adrian/trbvhdl/cri/src/DCA_cores/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.vhd +# Path name '/home/adrian/trbvhdl/cri/src/DCA_cores/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.vhd' doesn't exist. +# Error opening /home/adrian/trbvhdl/cri/src/DCA_cores/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.vhd +# Path name '/home/adrian/trbvhdl/cri/src/DCA_cores/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.vhd' doesn't exist. +# Error opening /home/adrian/trbvhdl/cri/src/DCA_cores/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.vhd +# Path name '/home/adrian/trbvhdl/cri/src/DCA_cores/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.vhd' doesn't exist. +# Error opening /home/adrian/trbvhdl/cri/src/DCA_cores/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.vhd +# Path name '/home/adrian/trbvhdl/cri/src/DCA_cores/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.vhd' doesn't exist. +# Compile of cri_trbnet_dca_bridge.vhd failed with 9 errors. +# Compile of cri_trbnet_dca_bridge_handler.vhd failed with 6 errors. +# Compile of DCA_bridge_tb.vhd failed with 6 errors. +# Compile of trb_net_std.vhd was successful. +# Compile of trb_net_components.vhd was successful. +# Compile of wishbone_pkg.vhd was successful. +# Compile of agwb_handler_dca_sim.vhd was successful. +# Compile of signal_sync.vhd was successful. +# Compile of pulse_sync.vhd was successful. +# Compile of fifo_2kx34x17_wcnt.vhd was successful. +# Compile of fifo_64kx16x32_wcnt.vhd was successful. +# Compile of fifo_4kx16x32_wcnt.vhd was successful. +# 12 compiles, 3 failed with 21 errors. +# Compile of cri_trbnet_dca_bridge.vhd failed with 3 errors. +# Compile of cri_trbnet_dca_bridge_handler.vhd failed with 1 errors. +# Compile of DCA_bridge_tb.vhd was successful. +# Compile of trb_net_std.vhd was successful. +# Compile of trb_net_components.vhd was successful. +# Compile of wishbone_pkg.vhd was successful. +# Compile of agwb_handler_dca_sim.vhd was successful. +# Compile of signal_sync.vhd was successful. +# Compile of pulse_sync.vhd was successful. +# Compile of fifo_2kx34x17_wcnt.vhd was successful. +# Compile of fifo_64kx16x32_wcnt.vhd was successful. +# Compile of fifo_4kx16x32_wcnt.vhd was successful. +# 12 compiles, 2 failed with 4 errors. +# Compile of cri_trbnet_dca_bridge_handler.vhd was successful. +# Compile of cri_trbnet_dca_bridge.vhd was successful. +# Compile of cri_trbnet_dca_bridge_handler.vhd was successful. +# Compile of DCA_bridge_tb.vhd was successful. +# Compile of trb_net_std.vhd was successful. +# Compile of trb_net_components.vhd was successful. +# Compile of wishbone_pkg.vhd was successful. +# Compile of agwb_handler_dca_sim.vhd was successful. +# Compile of signal_sync.vhd was successful. +# Compile of pulse_sync.vhd was successful. +# Compile of fifo_2kx34x17_wcnt.vhd was successful. +# Compile of fifo_64kx16x32_wcnt.vhd was successful. +# Compile of fifo_4kx16x32_wcnt.vhd was successful. +# 12 compiles, 0 failed with no errors. +vsim -t 10ps work.dca_bridge_tb +# vsim -t 10ps work.dca_bridge_tb +# Start time: 12:44:05 on Mar 02,2021 +# ** Note: (vsim-3812) Design is being optimized... +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.std_logic_arith(body) +# Loading ieee.std_logic_unsigned(body) +# Loading work.trb_net_std(body) +# Loading ieee.numeric_std(body) +# Loading work.wishbone_pkg(body) +# Loading work.trb_net_components +# Loading work.dca_bridge_tb(dca_bridge_tb_arch)#1 +# Loading work.components(body) +# Loading work.cri_trbnet_dca_bridge(rtl)#1 +# Loading work.agwb_handler_dca_sim(rtl)#1 +# Loading work.fifo_2kx34x17_wcnt(structure)#1 +# Loading ieee.vital_timing(body) +# Loading ieee.vital_primitives(body) +# Loading work.and2(v)#1 +# Loading work.inv(v)#1 +# Loading work.or2(v)#1 +# Loading work.xor2(v)#1 +# Loading work.mem2(body) +# Loading work.rom16x1a(v)#1 +# Loading work.rom16x1a(v)#2 +# Loading work.rom16x1a(v)#3 +# Loading work.rom16x1a(v)#4 +# Loading work.rom16x1a(v)#5 +# Loading work.rom16x1a(v)#6 +# Loading work.global(body) +# Loading work.mem3(body) +# Loading work.dp16kc(v)#1 +# Loading work.dp16kc(v)#2 +# Loading work.dp16kc(v)#3 +# Loading work.dp16kc(v)#4 +# Loading work.fd1p3bx(v)#1 +# Loading work.fd1p3dx(v)#1 +# Loading work.fd1s3dx(v)#1 +# Loading work.fd1s3bx(v)#1 +# Loading work.fadd2b(v)#1 +# Loading work.cu2(v)#1 +# Loading work.buf(v)#1 +# Loading work.mux21(v)#1 +# Loading work.fadd2b(v)#2 +# Loading work.vhi(v)#1 +# Loading work.fsub2b(v)#1 +# Loading work.fsub2b(v)#2 +# Loading work.ageb2(v)#1 +# Loading work.ageb2(v)#2 +# Loading work.fadd2b(v)#3 +# Loading work.vlo(v)#1 +# Loading work.fifo_64kx16x32_wcnt(structure)#1 +# Loading work.dp16kc(v)#5 +# Loading work.dp16kc(v)#6 +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +add wave -position end sim:/dca_bridge_tb/CLK +add wave -position end sim:/dca_bridge_tb/CLK_DCA +add wave -position end sim:/dca_bridge_tb/THE_DCA_Bridge/DCA_INIT_DATAREADY_OUT +add wave -position end sim:/dca_bridge_tb/THE_DCA_Bridge/DCA_INIT_DATA_OUT +add wave -position end sim:/dca_bridge_tb/THE_DCA_Bridge/DCA_INIT_PACKET_NUM_OUT +add wave -position end sim:/dca_bridge_tb/THE_DCA_Bridge/DCA_INIT_READ_IN +restart +# ** Note: (vsim-12125) Error and warning message counts have been reset to '0' because of 'restart'. +# ** Note: (vsim-8009) Loading existing optimized design _opt +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +run +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +write format wave -window .main_pane.wave.interior.cs.body.pw.wf /home/adrian/trbvhdl/cri/src/DCA_bridge_tb/wave.do +# Compile of cri_trbnet_dca_bridge.vhd was successful. +# Compile of cri_trbnet_dca_bridge_handler.vhd was successful. +# Compile of DCA_bridge_tb.vhd was successful. +# Compile of trb_net_std.vhd was successful. +# Compile of trb_net_components.vhd was successful. +# Compile of wishbone_pkg.vhd was successful. +# Compile of agwb_handler_dca_sim.vhd was successful. +# Compile of signal_sync.vhd was successful. +# Compile of pulse_sync.vhd was successful. +# Compile of fifo_2kx34x17_wcnt.vhd was successful. +# Compile of fifo_64kx16x32_wcnt.vhd was successful. +# Compile of fifo_4kx16x32_wcnt.vhd was successful. +# 12 compiles, 0 failed with no errors. +add wave -position end sim:/dca_bridge_tb/THE_DCA_Bridge/tx_fifo_q +add wave -position end sim:/dca_bridge_tb/THE_DCA_Bridge/rx_fifo_data +restart +# ** Note: (vsim-12125) Error and warning message counts have been reset to '0' because of 'restart'. +# ** Note: (vsim-3813) Design is being optimized due to module recompilation... +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.std_logic_arith(body) +# Loading ieee.std_logic_unsigned(body) +# Loading work.trb_net_std(body) +# Loading ieee.numeric_std(body) +# Loading work.wishbone_pkg(body) +# Loading work.trb_net_components +# Loading work.dca_bridge_tb(dca_bridge_tb_arch)#1 +# Loading work.components(body) +# Loading work.cri_trbnet_dca_bridge(rtl)#1 +# Loading work.agwb_handler_dca_sim(rtl)#1 +# Loading work.fifo_2kx34x17_wcnt(structure)#1 +# Loading ieee.vital_timing(body) +# Loading ieee.vital_primitives(body) +# Loading work.and2(v)#1 +# Loading work.inv(v)#1 +# Loading work.or2(v)#1 +# Loading work.xor2(v)#1 +# Loading work.mem2(body) +# Loading work.rom16x1a(v)#1 +# Loading work.rom16x1a(v)#2 +# Loading work.rom16x1a(v)#3 +# Loading work.rom16x1a(v)#4 +# Loading work.rom16x1a(v)#5 +# Loading work.rom16x1a(v)#6 +# Loading work.global(body) +# Loading work.mem3(body) +# Loading work.dp16kc(v)#1 +# Loading work.dp16kc(v)#2 +# Loading work.dp16kc(v)#3 +# Loading work.dp16kc(v)#4 +# Loading work.fd1p3bx(v)#1 +# Loading work.fd1p3dx(v)#1 +# Loading work.fd1s3dx(v)#1 +# Loading work.fd1s3bx(v)#1 +# Loading work.fadd2b(v)#1 +# Loading work.cu2(v)#1 +# Loading work.buf(v)#1 +# Loading work.mux21(v)#1 +# Loading work.fadd2b(v)#2 +# Loading work.vhi(v)#1 +# Loading work.fsub2b(v)#1 +# Loading work.fsub2b(v)#2 +# Loading work.ageb2(v)#1 +# Loading work.ageb2(v)#2 +# Loading work.fadd2b(v)#3 +# Loading work.vlo(v)#1 +# Loading work.fifo_64kx16x32_wcnt(structure)#1 +# Loading work.dp16kc(v)#5 +# Loading work.dp16kc(v)#6 +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +run +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +add wave -position end sim:/dca_bridge_tb/THE_DCA_Bridge/tx_fifo_data +restart +# ** Note: (vsim-12125) Error and warning message counts have been reset to '0' because of 'restart'. +# ** Note: (vsim-8009) Loading existing optimized design _opt +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +run +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +add wave -position end sim:/dca_bridge_tb/THE_DCA_Bridge/tx_fifo_wr +restart +# ** Note: (vsim-12125) Error and warning message counts have been reset to '0' because of 'restart'. +# ** Note: (vsim-8009) Loading existing optimized design _opt +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +run +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +restart +# Compile of cri_trbnet_dca_bridge.vhd was successful. +# Compile of cri_trbnet_dca_bridge_handler.vhd was successful. +# Compile of DCA_bridge_tb.vhd was successful. +# Compile of trb_net_std.vhd was successful. +# Compile of trb_net_components.vhd was successful. +# Compile of wishbone_pkg.vhd was successful. +# Compile of agwb_handler_dca_sim.vhd was successful. +# Compile of signal_sync.vhd was successful. +# Compile of pulse_sync.vhd was successful. +# Compile of fifo_2kx34x17_wcnt.vhd was successful. +# Compile of fifo_64kx16x32_wcnt.vhd was successful. +# Compile of fifo_4kx16x32_wcnt.vhd was successful. +# 12 compiles, 0 failed with no errors. +restart +# ** Note: (vsim-12125) Error and warning message counts have been reset to '0' because of 'restart'. +# ** Note: (vsim-3813) Design is being optimized due to module recompilation... +# Loading std.standard +# Loading std.textio(body) +# Loading ieee.std_logic_1164(body) +# Loading ieee.std_logic_arith(body) +# Loading ieee.std_logic_unsigned(body) +# Loading work.trb_net_std(body) +# Loading ieee.numeric_std(body) +# Loading work.wishbone_pkg(body) +# Loading work.trb_net_components +# Loading work.dca_bridge_tb(dca_bridge_tb_arch)#1 +# Loading work.components(body) +# Loading work.cri_trbnet_dca_bridge(rtl)#1 +# Loading work.agwb_handler_dca_sim(rtl)#1 +# Loading work.fifo_2kx34x17_wcnt(structure)#1 +# Loading ieee.vital_timing(body) +# Loading ieee.vital_primitives(body) +# Loading work.and2(v)#1 +# Loading work.inv(v)#1 +# Loading work.or2(v)#1 +# Loading work.xor2(v)#1 +# Loading work.mem2(body) +# Loading work.rom16x1a(v)#1 +# Loading work.rom16x1a(v)#2 +# Loading work.rom16x1a(v)#3 +# Loading work.rom16x1a(v)#4 +# Loading work.rom16x1a(v)#5 +# Loading work.rom16x1a(v)#6 +# Loading work.global(body) +# Loading work.mem3(body) +# Loading work.dp16kc(v)#1 +# Loading work.dp16kc(v)#2 +# Loading work.dp16kc(v)#3 +# Loading work.dp16kc(v)#4 +# Loading work.fd1p3bx(v)#1 +# Loading work.fd1p3dx(v)#1 +# Loading work.fd1s3dx(v)#1 +# Loading work.fd1s3bx(v)#1 +# Loading work.fadd2b(v)#1 +# Loading work.cu2(v)#1 +# Loading work.buf(v)#1 +# Loading work.mux21(v)#1 +# Loading work.fadd2b(v)#2 +# Loading work.vhi(v)#1 +# Loading work.fsub2b(v)#1 +# Loading work.fsub2b(v)#2 +# Loading work.ageb2(v)#1 +# Loading work.ageb2(v)#2 +# Loading work.fadd2b(v)#3 +# Loading work.vlo(v)#1 +# Loading work.fifo_64kx16x32_wcnt(structure)#1 +# Loading work.dp16kc(v)#5 +# Loading work.dp16kc(v)#6 +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/GEN_AGWB_HANDLER_SIM/THE_AGWB_HANDLER_SIM/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/THE_DCA_HANDLER/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.err, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.err. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.rty, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.rty. +# ** Warning: (vsim-8684) No drivers exist on out port /dca_bridge_tb/THE_DCA_Bridge/WB_SLAVE_OUT.stall, and its initial value is not used. +# Therefore, simulation behavior may occur that is not in compliance with +# the VHDL standard as the initial values come from the base signal /dca_bridge_tb/wb_slave_out.stall. +run +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_15_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_14_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_13_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_12_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_11_4 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_10_5 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_9_6 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_8_7 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_7_8 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_6_9 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_5_10 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_4_11 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_3_12 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_2_13 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_1_14 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_1_0_15 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_15_16 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_14_17 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_13_18 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_12_19 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_11_20 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_10_21 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_9_22 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_8_23 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_7_24 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_6_25 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_5_26 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_4_27 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_3_28 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_2_29 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_1_30 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/tf_65k_gen/transmit_fifo/pdp_ram_0_0_31 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_1_0 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_1_0_1 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_1_2 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 +# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). +# Time: 0 ps Iteration: 1 Instance: /dca_bridge_tb/THE_DCA_Bridge/receive_fifo/pdp_ram_0_0_3 diff --git a/src/DCA_bridge_tb/wave.do b/src/DCA_bridge_tb/wave.do new file mode 100644 index 0000000..f387cfd --- /dev/null +++ b/src/DCA_bridge_tb/wave.do @@ -0,0 +1,26 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /dca_bridge_tb/CLK +add wave -noupdate /dca_bridge_tb/CLK_DCA +add wave -noupdate /dca_bridge_tb/THE_DCA_Bridge/DCA_INIT_DATAREADY_OUT +add wave -noupdate /dca_bridge_tb/THE_DCA_Bridge/DCA_INIT_DATA_OUT +add wave -noupdate /dca_bridge_tb/THE_DCA_Bridge/DCA_INIT_PACKET_NUM_OUT +add wave -noupdate /dca_bridge_tb/THE_DCA_Bridge/DCA_INIT_READ_IN +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 317 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {207670 ps} diff --git a/src/DCA_bridge_tb/wishbone_pkg.vhd b/src/DCA_bridge_tb/wishbone_pkg.vhd new file mode 100644 index 0000000..0148b0f --- /dev/null +++ b/src/DCA_bridge_tb/wishbone_pkg.vhd @@ -0,0 +1,2230 @@ + +-------------------------------------------------------------------------------- +-- CERN BE-CO-HT +-- General Cores Library +-- https://www.ohwr.org/projects/general-cores +-------------------------------------------------------------------------------- +-- +-- unit name: wishbone_pkg +-- +-- description: Collection of Wishbone modules and definitions used in various +-- OHWR projects. +-- +-------------------------------------------------------------------------------- +-- Copyright CERN 2011-2018 +-------------------------------------------------------------------------------- +-- Copyright and related rights are licensed under the Solderpad Hardware +-- License, Version 2.0 (the "License"); you may not use this file except +-- in compliance with the License. You may obtain a copy of the License at +-- http://solderpad.org/licenses/SHL-2.0. +-- Unless required by applicable law or agreed to in writing, software, +-- hardware and materials distributed under this License is distributed on an +-- "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express +-- or implied. See the License for the specific language governing permissions +-- and limitations under the License. +-------------------------------------------------------------------------------- + +library ieee; + +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +package wishbone_pkg is + + constant c_wishbone_address_width : integer := 32; + constant c_wishbone_data_width : integer := 32; + + subtype t_wishbone_address is + std_logic_vector(c_wishbone_address_width-1 downto 0); + subtype t_wishbone_data is + std_logic_vector(c_wishbone_data_width-1 downto 0); + subtype t_wishbone_byte_select is + std_logic_vector((c_wishbone_address_width/8)-1 downto 0); + subtype t_wishbone_cycle_type is + std_logic_vector(2 downto 0); + subtype t_wishbone_burst_type is + std_logic_vector(1 downto 0); + + type t_wishbone_interface_mode is (CLASSIC, PIPELINED); + type t_wishbone_address_granularity is (BYTE, WORD); + + type t_wishbone_master_out is record + cyc : std_logic; + stb : std_logic; + adr : t_wishbone_address; + sel : t_wishbone_byte_select; + we : std_logic; + dat : t_wishbone_data; + end record t_wishbone_master_out; + + subtype t_wishbone_slave_in is t_wishbone_master_out; + + type t_wishbone_slave_out is record + ack : std_logic; + err : std_logic; + rty : std_logic; + stall : std_logic; + dat : t_wishbone_data; + end record t_wishbone_slave_out; + + subtype t_wishbone_master_in is t_wishbone_slave_out; + + -- variants for 64-bit data + subtype t_wishbone_data64 is + std_logic_vector(63 downto 0); + subtype t_wishbone_byte_select_data64 is + std_logic_vector(7 downto 0); + + type t_wishbone_master_data64_out is record + cyc : std_logic; + stb : std_logic; + adr : t_wishbone_address; + sel : t_wishbone_byte_select_data64; + we : std_logic; + dat : t_wishbone_data64; + end record t_wishbone_master_data64_out; + + subtype t_wishbone_slave_data64_in is t_wishbone_master_data64_out; + + type t_wishbone_slave_data64_out is record + ack : std_logic; + err : std_logic; + rty : std_logic; + stall : std_logic; + dat : t_wishbone_data64; + end record t_wishbone_slave_data64_out; + + subtype t_wishbone_master_data64_in is t_wishbone_slave_data64_out; + + type t_wishbone_master_data64_out_array is array (natural range <>) of t_wishbone_master_data64_out; + subtype t_wishbone_slave_data64_in_array is t_wishbone_master_data64_out_array; + type t_wishbone_slave_data64_out_array is array (natural range <>) of t_wishbone_slave_data64_out; + subtype t_wishbone_master_data64_in_array is t_wishbone_slave_data64_out_array; + + subtype t_wishbone_device_descriptor is std_logic_vector(255 downto 0); + + type t_wishbone_byte_select_array is array(natural range <>) of t_wishbone_byte_select; + type t_wishbone_data_array is array(natural range <>) of t_wishbone_data; + type t_wishbone_address_array is array(natural range <>) of t_wishbone_address; + type t_wishbone_master_out_array is array (natural range <>) of t_wishbone_master_out; + subtype t_wishbone_slave_in_array is t_wishbone_master_out_array; + type t_wishbone_slave_out_array is array (natural range <>) of t_wishbone_slave_out; + subtype t_wishbone_master_in_array is t_wishbone_slave_out_array; + + constant c_DUMMY_WB_ADDR : std_logic_vector(c_WISHBONE_ADDRESS_WIDTH-1 downto 0) := + (others => 'X'); + constant c_DUMMY_WB_DATA : std_logic_vector(c_WISHBONE_DATA_WIDTH-1 downto 0) := + (others => 'X'); + constant c_DUMMY_WB_SEL : std_logic_vector(c_WISHBONE_DATA_WIDTH/8-1 downto 0) := + (others => 'X'); + constant c_DUMMY_WB_SLAVE_IN : t_wishbone_slave_in := + ('0', '0', c_DUMMY_WB_ADDR, c_DUMMY_WB_SEL, 'X', c_DUMMY_WB_DATA); + constant c_DUMMY_WB_MASTER_OUT : t_wishbone_master_out := c_DUMMY_WB_SLAVE_IN; + constant c_DUMMY_WB_SLAVE_OUT : t_wishbone_slave_out := + ('1', '0', '0', '0', c_DUMMY_WB_DATA); + constant c_DUMMY_WB_MASTER_IN : t_wishbone_master_in := c_DUMMY_WB_SLAVE_OUT; + constant c_DUMMY_WB_ADDR_ARRAY : t_wishbone_address_array(0 downto 0) := (0 => c_DUMMY_WB_ADDR); + -- Dangerous! c_STALL_WB_SLAVE_OUT and c_STALL_WB_MASTER_IN will stall the bus. + -- Kept here for backward compatibility, if anyone was using cc_dummy_slave_out. + constant c_STALL_WB_SLAVE_OUT : t_wishbone_slave_out := ('X', 'X', 'X', 'X', c_DUMMY_WB_DATA); + constant c_STALL_WB_MASTER_IN : t_wishbone_master_in := c_DUMMY_WB_SLAVE_OUT; + + constant c_DUMMY_WB_SLAVE_D64_IN : t_wishbone_slave_data64_in := + ('0', '0', c_DUMMY_WB_ADDR, (others => 'X'), 'X', (others => 'X')); + + -- For backward compatibility + constant cc_dummy_address : std_logic_vector(c_wishbone_address_width-1 downto 0) := c_DUMMY_WB_ADDR; + constant cc_dummy_data : std_logic_vector(c_wishbone_data_width-1 downto 0) := c_DUMMY_WB_DATA; + constant cc_dummy_sel : std_logic_vector(c_wishbone_data_width/8-1 downto 0) := c_DUMMY_WB_SEL; + constant cc_dummy_slave_in : t_wishbone_slave_in := c_DUMMY_WB_SLAVE_IN; + constant cc_dummy_master_out : t_wishbone_master_out := c_DUMMY_WB_MASTER_OUT; + constant cc_dummy_slave_out : t_wishbone_slave_out := c_STALL_WB_SLAVE_OUT; + constant cc_dummy_master_in : t_wishbone_master_in := c_STALL_WB_MASTER_IN; + constant cc_dummy_address_array : t_wishbone_address_array(0 downto 0) := c_DUMMY_WB_ADDR_ARRAY; + + -- A generally useful function. + function f_ceil_log2(x : natural) return natural; + function f_bits2string(s : std_logic_vector) return string; + + function f_string2bits(s : string) return std_logic_vector; + function f_string2svl (s : string) return std_logic_vector; + function f_slv2string (slv : std_logic_vector) return string; + + function f_string_fix_len( s : string; ret_len : natural := 10; fill_char : character := '0'; justify_right : boolean := true ) return string; + function f_hot_to_bin(x : std_logic_vector) return natural; + + -- *** Wishbone slave interface functions *** + -- f_wb_wr: + -- processes an incoming write reqest to a register while honoring the select lines + -- valid modes are overwrite "owr", set "set" (bits are or'ed) and clear "clr" (bits are nand'ed) + function f_wb_wr(pval : std_logic_vector; ival : std_logic_vector; sel : std_logic_vector; mode : string := "owr") return std_logic_vector; +------------------------------------------------------------------------------ +-- SDB declaration +------------------------------------------------------------------------------ + + constant c_sdb_device_length : natural := 512; -- bits + subtype t_sdb_record is std_logic_vector(c_sdb_device_length-1 downto 0); + type t_sdb_record_array is array(natural range <>) of t_sdb_record; + + type t_sdb_product is record + vendor_id : std_logic_vector(63 downto 0); + device_id : std_logic_vector(31 downto 0); + version : std_logic_vector(31 downto 0); + date : std_logic_vector(31 downto 0); + name : string(1 to 19); + end record t_sdb_product; + + type t_sdb_component is record + addr_first : std_logic_vector(63 downto 0); + addr_last : std_logic_vector(63 downto 0); + product : t_sdb_product; + end record t_sdb_component; + + constant c_sdb_endian_big : std_logic := '0'; + constant c_sdb_endian_little : std_logic := '1'; + type t_sdb_device is record + abi_class : std_logic_vector(15 downto 0); + abi_ver_major : std_logic_vector(7 downto 0); + abi_ver_minor : std_logic_vector(7 downto 0); + wbd_endian : std_logic; -- 0 = big, 1 = little + wbd_width : std_logic_vector(3 downto 0); -- 3=64-bit, 2=32-bit, 1=16-bit, 0=8-bit + sdb_component : t_sdb_component; + end record t_sdb_device; + + type t_sdb_msi is record + wbd_endian : std_logic; -- 0 = big, 1 = little + wbd_width : std_logic_vector(3 downto 0); -- 3=64-bit, 2=32-bit, 1=16-bit, 0=8-bit + sdb_component : t_sdb_component; + end record t_sdb_msi; + + type t_sdb_bridge is record + sdb_child : std_logic_vector(63 downto 0); + sdb_component : t_sdb_component; + end record t_sdb_bridge; + + type t_sdb_integration is record + product : t_sdb_product; + end record t_sdb_integration; + + type t_sdb_repo_url is record + repo_url : string(1 to 63); + end record t_sdb_repo_url; + + type t_sdb_synthesis is record + syn_module_name : string(1 to 16); + syn_commit_id : string(1 to 32); + syn_tool_name : string(1 to 8); + syn_tool_version : std_logic_vector(31 downto 0); + syn_date : std_logic_vector(31 downto 0); + syn_username : string(1 to 15); + end record t_sdb_synthesis; + + -- If you have a Wishbone master that does not receive MSI, + -- list it in the layout as 'f_sdb_auto_msi(c_null_msi, false)' + constant c_null_msi : t_sdb_msi := ( + wbd_endian => c_sdb_endian_big, + wbd_width => x"0", + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"0000000000000000", + product => ( + vendor_id => x"0000000000000000", + device_id => x"00000000", + version => x"00000000", + date => x"00000000", + name => " "))); + + -- general crossbar building functions + function f_sdb_create_array(g_enum_dev_id : boolean := false; + g_dev_id_offs : natural := 0; + g_enum_dev_name : boolean := false; + g_dev_name_offs : natural := 0; + device : t_sdb_device; + instances : natural := 1) return t_sdb_record_array; + function f_sdb_join_arrays(a : t_sdb_record_array; b : t_sdb_record_array) return t_sdb_record_array; + function f_sdb_extract_base_addr(sdb_record : t_sdb_record) return std_logic_vector; + function f_sdb_extract_end_addr(sdb_record : t_sdb_record) return std_logic_vector; + function f_sdb_automap_array(sdb_array : t_sdb_record_array; start_offset : t_wishbone_address := (others => '0')) return t_sdb_record_array; + function f_align_addr_offset(offs : unsigned; this_rng : unsigned; prev_rng : unsigned) return unsigned; + function f_sdb_create_rom_addr(sdb_array : t_sdb_record_array) return t_wishbone_address; + + + -- Used to configure a device at a certain address + function f_sdb_embed_device(device : t_sdb_device; address : t_wishbone_address) return t_sdb_record; + function f_sdb_embed_bridge(bridge : t_sdb_bridge; address : t_wishbone_address) return t_sdb_record; + function f_sdb_embed_msi(msi : t_sdb_msi; address : t_wishbone_address) return t_sdb_record; + function f_sdb_embed_integration(integr : t_sdb_integration) return t_sdb_record; + function f_sdb_embed_repo_url(url : t_sdb_repo_url) return t_sdb_record; + function f_sdb_embed_synthesis(syn : t_sdb_synthesis) return t_sdb_record; + + function f_sdb_extract_device(sdb_record : t_sdb_record) return t_sdb_device; + function f_sdb_extract_bridge(sdb_record : t_sdb_record) return t_sdb_bridge; + function f_sdb_extract_msi(sdb_record : t_sdb_record) return t_sdb_msi; + function f_sdb_extract_integration(sdb_record : t_sdb_record) return t_sdb_integration; + function f_sdb_extract_repo_url(sdb_record : t_sdb_record) return t_sdb_repo_url; + function f_sdb_extract_synthesis(sdb_record : t_sdb_record) return t_sdb_synthesis; + + -- Automatic crossbar mapping functions + function f_sdb_auto_device(device : t_sdb_device; enable : boolean := true; name: string := "") return t_sdb_record; + function f_sdb_auto_bridge(bridge : t_sdb_bridge; enable : boolean := true; name: string := "") return t_sdb_record; + function f_sdb_auto_msi (msi : t_sdb_msi; enable : boolean := true) return t_sdb_record; + function f_sdb_auto_layout(records: t_sdb_record_array) return t_sdb_record_array; + function f_sdb_auto_layout(slaves : t_sdb_record_array; masters : t_sdb_record_array) return t_sdb_record_array; + function f_sdb_auto_sdb (records: t_sdb_record_array) return t_wishbone_address; + function f_sdb_auto_sdb (slaves : t_sdb_record_array; masters : t_sdb_record_array) return t_wishbone_address; + + -- For internal use by the crossbar + function f_sdb_bus_end(g_wraparound : boolean; g_layout : t_sdb_record_array; g_sdb_addr : t_wishbone_address; msi : boolean) return unsigned; + function f_sdb_embed_product(product : t_sdb_product) return std_logic_vector; -- (319 downto 8) + function f_sdb_embed_component(sdb_component : t_sdb_component; address : t_wishbone_address) return std_logic_vector; -- (447 downto 8) + function f_sdb_extract_product(sdb_record : std_logic_vector(319 downto 8)) return t_sdb_product; + function f_sdb_extract_component(sdb_record : std_logic_vector(447 downto 8)) return t_sdb_component; + +------------------------------------------------------------------------------ +-- Components declaration +------------------------------------------------------------------------------- + + component wb_slave_adapter + generic ( + g_master_use_struct : boolean; + g_master_mode : t_wishbone_interface_mode; + g_master_granularity : t_wishbone_address_granularity; + g_slave_use_struct : boolean; + g_slave_mode : t_wishbone_interface_mode; + g_slave_granularity : t_wishbone_address_granularity); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + sl_adr_i : in std_logic_vector(c_wishbone_address_width-1 downto 0) := cc_dummy_address; + sl_dat_i : in std_logic_vector(c_wishbone_data_width-1 downto 0) := cc_dummy_data; + sl_sel_i : in std_logic_vector(c_wishbone_data_width/8-1 downto 0) := cc_dummy_sel; + sl_cyc_i : in std_logic := '0'; + sl_stb_i : in std_logic := '0'; + sl_we_i : in std_logic := '0'; + sl_dat_o : out std_logic_vector(c_wishbone_data_width-1 downto 0); + sl_err_o : out std_logic; + sl_rty_o : out std_logic; + sl_ack_o : out std_logic; + sl_stall_o : out std_logic; + slave_i : in t_wishbone_slave_in := cc_dummy_slave_in; + slave_o : out t_wishbone_slave_out; + ma_adr_o : out std_logic_vector(c_wishbone_address_width-1 downto 0); + ma_dat_o : out std_logic_vector(c_wishbone_data_width-1 downto 0); + ma_sel_o : out std_logic_vector(c_wishbone_data_width/8-1 downto 0); + ma_cyc_o : out std_logic; + ma_stb_o : out std_logic; + ma_we_o : out std_logic; + ma_dat_i : in std_logic_vector(c_wishbone_data_width-1 downto 0) := cc_dummy_data; + ma_err_i : in std_logic := '0'; + ma_rty_i : in std_logic := '0'; + ma_ack_i : in std_logic := '0'; + ma_stall_i : in std_logic := '0'; + master_i : in t_wishbone_master_in := cc_dummy_slave_out; + master_o : out t_wishbone_master_out); + end component; + + component wb_async_bridge + generic ( + g_simulation : integer; + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_cpu_address_width : integer); + port ( + rst_n_i : in std_logic; + clk_sys_i : in std_logic; + cpu_cs_n_i : in std_logic; + cpu_wr_n_i : in std_logic; + cpu_rd_n_i : in std_logic; + cpu_bs_n_i : in std_logic_vector(3 downto 0); + cpu_addr_i : in std_logic_vector(g_cpu_address_width-1 downto 0); + cpu_data_b : inout std_logic_vector(31 downto 0); + cpu_nwait_o : out std_logic; + wb_adr_o : out std_logic_vector(c_wishbone_address_width - 1 downto 0); + wb_dat_o : out std_logic_vector(31 downto 0); + wb_stb_o : out std_logic; + wb_we_o : out std_logic; + wb_sel_o : out std_logic_vector(3 downto 0); + wb_cyc_o : out std_logic; + wb_dat_i : in std_logic_vector (c_wishbone_data_width-1 downto 0); + wb_ack_i : in std_logic; + wb_stall_i : in std_logic := '0'); + end component; + + component xwb_async_bridge + generic ( + g_simulation : integer; + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_cpu_address_width : integer); + port ( + rst_n_i : in std_logic; + clk_sys_i : in std_logic; + cpu_cs_n_i : in std_logic; + cpu_wr_n_i : in std_logic; + cpu_rd_n_i : in std_logic; + cpu_bs_n_i : in std_logic_vector(3 downto 0); + cpu_addr_i : in std_logic_vector(g_cpu_address_width-1 downto 0); + cpu_data_b : inout std_logic_vector(31 downto 0); + cpu_nwait_o : out std_logic; + master_o : out t_wishbone_master_out; + master_i : in t_wishbone_master_in); + end component; + + component xwb_bus_fanout + generic ( + g_num_outputs : natural; + g_bits_per_slave : integer; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_slave_interface_mode : t_wishbone_interface_mode := CLASSIC); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + master_i : in t_wishbone_master_in_array(0 to g_num_outputs-1); + master_o : out t_wishbone_master_out_array(0 to g_num_outputs-1)); + end component; + + component xwb_crossbar + generic ( + g_num_masters : integer; + g_num_slaves : integer; + g_registered : boolean; + g_address : t_wishbone_address_array; + g_mask : t_wishbone_address_array; + g_verbose : boolean := true); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in_array(g_num_masters-1 downto 0); + slave_o : out t_wishbone_slave_out_array(g_num_masters-1 downto 0); + master_i : in t_wishbone_master_in_array(g_num_slaves-1 downto 0); + master_o : out t_wishbone_master_out_array(g_num_slaves-1 downto 0); + sdb_sel_o : out std_logic_vector(g_num_masters-1 downto 0)); -- leave open! + end component; + + -- Use the f_xwb_bridge_*_sdb to bridge a crossbar to another + function f_xwb_bridge_manual_sdb( -- take a manual bus size + g_size : t_wishbone_address; + g_sdb_addr : t_wishbone_address) return t_sdb_bridge; + + function f_xwb_bridge_layout_sdb( -- determine bus size from layout + g_wraparound : boolean := true; + g_layout : t_sdb_record_array; + g_sdb_addr : t_wishbone_address) return t_sdb_bridge; + + function f_xwb_msi_manual_sdb( -- take a manual bus size + g_size : t_wishbone_address) return t_sdb_msi; + + function f_xwb_msi_layout_sdb( -- determine MSI size from layout + g_layout : t_sdb_record_array) return t_sdb_msi; + + component xwb_sdb_crossbar + generic ( + g_verbose : boolean := true; + g_num_masters : integer; + g_num_slaves : integer; + g_registered : boolean := false; + g_wraparound : boolean := true; + g_layout : t_sdb_record_array; + g_sdb_addr : t_wishbone_address; + g_sdb_wb_mode : t_wishbone_interface_mode := CLASSIC; + g_sdb_name : string := "WB4-Crossbar-GSI "); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in_array (g_num_masters-1 downto 0); + slave_o : out t_wishbone_slave_out_array (g_num_masters-1 downto 0); + msi_master_i : in t_wishbone_master_in_array (g_num_masters-1 downto 0) := (others => cc_dummy_master_in); + msi_master_o : out t_wishbone_master_out_array(g_num_masters-1 downto 0); + master_i : in t_wishbone_master_in_array (g_num_slaves -1 downto 0); + master_o : out t_wishbone_master_out_array(g_num_slaves -1 downto 0); + msi_slave_i : in t_wishbone_slave_in_array (g_num_slaves -1 downto 0) := (others => cc_dummy_slave_in); + msi_slave_o : out t_wishbone_slave_out_array (g_num_slaves -1 downto 0)); + end component; + + component xwb_register_link -- puts a register of delay between crossbars + generic ( + g_WB_IN_MODE : t_wishbone_interface_mode := PIPELINED; + g_WB_IN_GRANULARITY : t_wishbone_address_granularity := BYTE; + g_WB_OUT_MODE : t_wishbone_interface_mode := PIPELINED; + g_WB_OUT_GRANULARITY : t_wishbone_address_granularity := BYTE); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + master_i : in t_wishbone_master_in; + master_o : out t_wishbone_master_out); + end component; + + component xwb_register is + generic ( + g_WB_MODE : t_wishbone_interface_mode := PIPELINED); + port ( + rst_n_i : in std_logic; + clk_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + master_i : in t_wishbone_master_in; + master_o : out t_wishbone_master_out); + end component xwb_register; + + -- skidpad. acts like a fifo in wb flow control, but costs less + component wb_skidpad is + generic( + g_adrbits : natural := 32 + ); + Port( + clk_i : std_logic; + rst_n_i : std_logic; + + push_i : in std_logic; + pop_i : in std_logic; + full_o : out std_logic; + empty_o : out std_logic; + + adr_i : in std_logic_vector(g_adrbits-1 downto 0); + dat_i : in std_logic_vector(32-1 downto 0); + sel_i : in std_logic_vector(4-1 downto 0); + we_i : in std_logic; + + adr_o : out std_logic_vector(g_adrbits-1 downto 0); + dat_o : out std_logic_vector(32-1 downto 0); + sel_o : out std_logic_vector(4-1 downto 0); + we_o : out std_logic + ); + end component; + + component sdb_rom is + generic( + g_layout : t_sdb_record_array; + g_masters : natural; + g_bus_end : unsigned(63 downto 0); + g_wb_mode : t_wishbone_interface_mode := CLASSIC; + g_sdb_name : string := "WB4-Crossbar-GSI "); + port( + clk_sys_i : in std_logic; + rst_n_i : in std_logic := '1'; + master_i : in std_logic_vector(g_masters-1 downto 0); + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out); + end component; + + constant c_xwb_dma_sdb : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"00", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"000000000000001f", + product => ( + vendor_id => x"0000000000000651", -- GSI + device_id => x"cababa56", + version => x"00000001", + date => x"20120518", + name => "WB4-Streaming-DMA_0"))); + component xwb_dma is + generic( + -- Value 0 cannot stream + -- Value 1 only slaves with async ACK can stream + -- Value 2 only slaves with combined latency <= 2 can stream + -- Value 3 only slaves with combined latency <= 6 can stream + -- Value 4 only slaves with combined latency <= 14 can stream + -- .... + logRingLen : integer := 4 + ); + port( + -- Common wishbone signals + clk_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + -- Master reader port + r_master_i : in t_wishbone_master_in; + r_master_o : out t_wishbone_master_out; + -- Master writer port + w_master_i : in t_wishbone_master_in; + w_master_o : out t_wishbone_master_out; + -- Pulsed high completion signal + interrupt_o : out std_logic + ); + end component; + + -- If you reset one clock domain, you must reset BOTH! + -- Release of the reset lines may be arbitrarily out-of-phase + component xwb_clock_crossing is + generic( + g_size : natural := 16); + port( + -- Slave control port + slave_clk_i : in std_logic; + slave_rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + -- Master reader port + master_clk_i : in std_logic; + master_rst_n_i : in std_logic; + master_i : in t_wishbone_master_in; + master_o : out t_wishbone_master_out; + -- Flow control back-channel for acks + slave_ready_o : out std_logic; + slave_stall_i : in std_logic := '0'); + end component; + + component xwb_clock_bridge is + generic ( + g_SLAVE_PORT_WB_MODE : t_wishbone_interface_mode := PIPELINED; + g_MASTER_PORT_WB_MODE : t_wishbone_interface_mode := PIPELINED; + g_SIZE : natural := 16); + port ( + slave_clk_i : in std_logic; + slave_rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + master_clk_i : in std_logic; + master_rst_n_i : in std_logic; + master_i : in t_wishbone_master_in; + master_o : out t_wishbone_master_out); + end component xwb_clock_bridge; + + -- g_size is in words + function f_xwb_dpram(g_size : natural) return t_sdb_device; + component xwb_dpram + generic ( + g_size : natural; + g_init_file : string := ""; + g_must_have_init_file : boolean := true; + g_slave1_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_slave2_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_slave1_granularity : t_wishbone_address_granularity := WORD; + g_slave2_granularity : t_wishbone_address_granularity := WORD); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave1_i : in t_wishbone_slave_in; + slave1_o : out t_wishbone_slave_out; + slave2_i : in t_wishbone_slave_in; + slave2_o : out t_wishbone_slave_out); + end component; + + component xwb_dpram_mixed + generic( + g_size : natural := 16384; + g_init_file : string := ""; + g_must_have_init_file : boolean := true; + g_swap_word_endianness : boolean := true; + g_slave1_interface_mode : t_wishbone_interface_mode; + g_slave2_interface_mode : t_wishbone_interface_mode; + g_dpram_port_a_width : integer := 16; + g_dpram_port_b_width : integer := 32; + g_slave1_granularity : t_wishbone_address_granularity; + g_slave2_granularity : t_wishbone_address_granularity); + port( + clk_slave1_i : in std_logic; + clk_slave2_i : in std_logic; + rst_n_i : in std_logic; + + slave1_i : in t_wishbone_slave_in; + slave1_o : out t_wishbone_slave_out; + slave2_i : in t_wishbone_slave_in; + slave2_o : out t_wishbone_slave_out); + end component; + + -- Just like the DMA controller, but constantly at address 0 + component xwb_streamer is + generic( + -- Value 0 cannot stream + -- Value 1 only slaves with async ACK can stream + -- Value 2 only slaves with combined latency = 2 can stream + -- Value 3 only slaves with combined latency = 6 can stream + -- Value 4 only slaves with combined latency = 14 can stream + -- .... + logRingLen : integer := 4 + ); + port( + -- Common wishbone signals + clk_i : in std_logic; + rst_n_i : in std_logic; + -- Master reader port + r_master_i : in t_wishbone_master_in; + r_master_o : out t_wishbone_master_out; + -- Master writer port + w_master_i : in t_wishbone_master_in; + w_master_o : out t_wishbone_master_out); + end component; + + + constant c_xwb_gpio_port_sdb : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"01", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"00000000000000ff", + product => ( + vendor_id => x"000000000000CE42", -- CERN + device_id => x"441c5143", + version => x"00000001", + date => x"20121129", + name => "WB-GPIO-Port "))); + + + component wb_gpio_port + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_num_pins : natural range 1 to 256; + g_with_builtin_sync : boolean := true; + g_with_builtin_tristates : boolean := false); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + wb_sel_i : in std_logic_vector(c_wishbone_data_width/8-1 downto 0); + wb_cyc_i : in std_logic; + wb_stb_i : in std_logic; + wb_we_i : in std_logic; + wb_adr_i : in std_logic_vector(7 downto 0); + wb_dat_i : in std_logic_vector(c_wishbone_data_width-1 downto 0); + wb_dat_o : out std_logic_vector(c_wishbone_data_width-1 downto 0); + wb_ack_o : out std_logic; + wb_stall_o : out std_logic; + gpio_b : inout std_logic_vector(g_num_pins-1 downto 0); + gpio_out_o : out std_logic_vector(g_num_pins-1 downto 0); + gpio_in_i : in std_logic_vector(g_num_pins-1 downto 0); + gpio_oen_o : out std_logic_vector(g_num_pins-1 downto 0)); + end component; + + component xwb_gpio_port + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_num_pins : natural range 1 to 256; + g_with_builtin_sync : boolean := true; + g_with_builtin_tristates : boolean := false); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + desc_o : out t_wishbone_device_descriptor; + gpio_b : inout std_logic_vector(g_num_pins-1 downto 0); + gpio_out_o : out std_logic_vector(g_num_pins-1 downto 0); + gpio_in_i : in std_logic_vector(g_num_pins-1 downto 0); + gpio_oen_o : out std_logic_vector(g_num_pins-1 downto 0)); + end component; + + constant c_xwb_i2c_master_sdb : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"01", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"00000000000000ff", + product => ( + vendor_id => x"000000000000CE42", -- CERN + device_id => x"123c5443", + version => x"00000001", + date => x"20121129", + name => "WB-I2C-Master "))); + + + component wb_i2c_master + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_num_interfaces : integer := 1); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + wb_adr_i : in std_logic_vector(4 downto 0); + wb_dat_i : in std_logic_vector(31 downto 0); + wb_dat_o : out std_logic_vector(31 downto 0); + wb_sel_i : in std_logic_vector(3 downto 0); + wb_stb_i : in std_logic; + wb_cyc_i : in std_logic; + wb_we_i : in std_logic; + wb_ack_o : out std_logic; + wb_stall_o : out std_logic; + int_o : out std_logic; + scl_pad_i : in std_logic_vector(g_num_interfaces-1 downto 0); + scl_pad_o : out std_logic_vector(g_num_interfaces-1 downto 0); + scl_padoen_o : out std_logic_vector(g_num_interfaces-1 downto 0); + sda_pad_i : in std_logic_vector(g_num_interfaces-1 downto 0); + sda_pad_o : out std_logic_vector(g_num_interfaces-1 downto 0); + sda_padoen_o : out std_logic_vector(g_num_interfaces-1 downto 0)); + end component; + + component xwb_i2c_master + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_num_interfaces : integer := 1); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + desc_o : out t_wishbone_device_descriptor; + int_o : out std_logic; + scl_pad_i : in std_logic_vector(g_num_interfaces-1 downto 0); + scl_pad_o : out std_logic_vector(g_num_interfaces-1 downto 0); + scl_padoen_o : out std_logic_vector(g_num_interfaces-1 downto 0); + sda_pad_i : in std_logic_vector(g_num_interfaces-1 downto 0); + sda_pad_o : out std_logic_vector(g_num_interfaces-1 downto 0); + sda_padoen_o : out std_logic_vector(g_num_interfaces-1 downto 0)); + end component; + + component xwb_lm32 + generic ( + g_profile : string; + g_reset_vector : std_logic_vector(31 downto 0) := x"00000000"; + g_sdb_address : std_logic_vector(31 downto 0) := x"00000000"); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + irq_i : in std_logic_vector(31 downto 0); + dwb_o : out t_wishbone_master_out; + dwb_i : in t_wishbone_master_in; + iwb_o : out t_wishbone_master_out; + iwb_i : in t_wishbone_master_in); + end component; + + constant c_xwb_onewire_master_sdb : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"01", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"00000000000000ff", + product => ( + vendor_id => x"000000000000CE42", -- CERN + device_id => x"779c5443", + version => x"00000001", + date => x"20121129", + name => "WB-OneWire-Master "))); + + component wb_onewire_master + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_num_ports : integer; + g_ow_btp_normal : string; + g_ow_btp_overdrive : string; + g_CDR_N : integer; + g_CDR_O : integer); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + wb_cyc_i : in std_logic; + wb_sel_i : in std_logic_vector(c_wishbone_data_width/8-1 downto 0); + wb_stb_i : in std_logic; + wb_we_i : in std_logic; + wb_adr_i : in std_logic_vector(2 downto 0); + wb_dat_i : in std_logic_vector(c_wishbone_data_width-1 downto 0); + wb_dat_o : out std_logic_vector(c_wishbone_data_width-1 downto 0); + wb_ack_o : out std_logic; + wb_stall_o : out std_logic; + int_o : out std_logic; + owr_pwren_o : out std_logic_vector(g_num_ports -1 downto 0); + owr_en_o : out std_logic_vector(g_num_ports -1 downto 0); + owr_i : in std_logic_vector(g_num_ports -1 downto 0)); + end component; + + component xwb_onewire_master + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_num_ports : integer; + g_ow_btp_normal : string := "5.0"; + g_ow_btp_overdrive : string := "1.0"); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + desc_o : out t_wishbone_device_descriptor; + int_o : out std_logic; + owr_pwren_o : out std_logic_vector(g_num_ports -1 downto 0); + owr_en_o : out std_logic_vector(g_num_ports -1 downto 0); + owr_i : in std_logic_vector(g_num_ports -1 downto 0)); + end component; + + constant c_xwb_spi_sdb : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"01", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"000000000000001F", + product => ( + vendor_id => x"000000000000CE42", -- CERN + device_id => x"e503947e", -- echo "WB-SPI.Control " | md5sum | cut -c1-8 + version => x"00000001", + date => x"20121116", + name => "WB-SPI.Control "))); + + component wb_spi + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_divider_len : integer := 16; + g_max_char_len : integer := 128; + g_num_slaves : integer := 8); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + wb_adr_i : in std_logic_vector(4 downto 0); + wb_dat_i : in std_logic_vector(31 downto 0); + wb_dat_o : out std_logic_vector(31 downto 0); + wb_sel_i : in std_logic_vector(3 downto 0); + wb_stb_i : in std_logic; + wb_cyc_i : in std_logic; + wb_we_i : in std_logic; + wb_ack_o : out std_logic; + wb_err_o : out std_logic; + wb_stall_o : out std_logic; + int_o : out std_logic; + pad_cs_o : out std_logic_vector(g_num_slaves-1 downto 0); + pad_sclk_o : out std_logic; + pad_mosi_o : out std_logic; + pad_miso_i : in std_logic); + end component; + + component xwb_spi + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_divider_len : integer := 16; + g_max_char_len : integer := 128; + g_num_slaves : integer := 8); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + desc_o : out t_wishbone_device_descriptor; + int_o : out std_logic; + pad_cs_o : out std_logic_vector(g_num_slaves-1 downto 0); + pad_sclk_o : out std_logic; + pad_mosi_o : out std_logic; + pad_miso_i : in std_logic); + end component; + + component wb_simple_uart + generic ( + g_with_virtual_uart : boolean := false; + g_with_physical_uart : boolean := true; + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_vuart_fifo_size : integer := 1024); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + wb_adr_i : in std_logic_vector(4 downto 0); + wb_dat_i : in std_logic_vector(31 downto 0); + wb_dat_o : out std_logic_vector(31 downto 0); + wb_cyc_i : in std_logic; + wb_sel_i : in std_logic_vector(3 downto 0); + wb_stb_i : in std_logic; + wb_we_i : in std_logic; + wb_ack_o : out std_logic; + wb_stall_o : out std_logic; + int_o : out std_logic; + uart_rxd_i : in std_logic := '1'; + uart_txd_o : out std_logic); + end component; + + component xwb_simple_uart + generic ( + g_with_virtual_uart : boolean := false; + g_with_physical_uart : boolean := true; + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_vuart_fifo_size : integer := 1024); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + desc_o : out t_wishbone_device_descriptor; + int_o : out std_logic; + uart_rxd_i : in std_logic := '1'; + uart_txd_o : out std_logic); + end component; + + component wb_simple_pwm + generic ( + g_num_channels : integer range 1 to 8; + g_regs_size : integer range 1 to 16 := 16; + g_default_period : integer range 0 to 255 := 0; + g_default_presc : integer range 0 to 255 := 0; + g_default_val : integer range 0 to 255 := 0; + g_interface_mode : t_wishbone_interface_mode := PIPELINED; + g_address_granularity : t_wishbone_address_granularity := BYTE); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + wb_adr_i : in std_logic_vector(5 downto 0); + wb_dat_i : in std_logic_vector(31 downto 0); + wb_dat_o : out std_logic_vector(31 downto 0); + wb_cyc_i : in std_logic; + wb_sel_i : in std_logic_vector(3 downto 0); + wb_stb_i : in std_logic; + wb_we_i : in std_logic; + wb_ack_o : out std_logic; + wb_stall_o : out std_logic; + pwm_o : out std_logic_vector(g_num_channels-1 downto 0)); + end component; + + component xwb_simple_pwm + generic ( + g_num_channels : integer range 1 to 8; + g_regs_size : integer range 1 to 16 := 16; + g_default_period : integer range 0 to 255 := 0; + g_default_presc : integer range 0 to 255 := 0; + g_default_val : integer range 0 to 255 := 0; + g_interface_mode : t_wishbone_interface_mode := PIPELINED; + g_address_granularity : t_wishbone_address_granularity := BYTE); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + pwm_o : out std_logic_vector(g_num_channels-1 downto 0)); + end component; + + component wb_tics + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_period : integer); + port ( + rst_n_i : in std_logic; + clk_sys_i : in std_logic; + wb_adr_i : in std_logic_vector(3 downto 0); + wb_dat_i : in std_logic_vector(c_wishbone_data_width-1 downto 0); + wb_dat_o : out std_logic_vector(c_wishbone_data_width-1 downto 0); + wb_cyc_i : in std_logic; + wb_sel_i : in std_logic_vector(c_wishbone_data_width/8-1 downto 0); + wb_stb_i : in std_logic; + wb_we_i : in std_logic; + wb_ack_o : out std_logic; + wb_stall_o : out std_logic); + end component; + + component xwb_tics + generic ( + g_interface_mode : t_wishbone_interface_mode := CLASSIC; + g_address_granularity : t_wishbone_address_granularity := WORD; + g_period : integer); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + desc_o : out t_wishbone_device_descriptor); + end component; + + component wb_vic + generic ( + g_interface_mode : t_wishbone_interface_mode; + g_address_granularity : t_wishbone_address_granularity; + g_num_interrupts : natural; + g_init_vectors : t_wishbone_address_array := cc_dummy_address_array; + g_FIXED_POLARITY : boolean := False; + g_POLARITY : std_logic := '1'; + g_retry_timeout : integer := 0 + ); + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + wb_adr_i : in std_logic_vector(c_wishbone_address_width-1 downto 0); + wb_dat_i : in std_logic_vector(c_wishbone_data_width-1 downto 0); + wb_dat_o : out std_logic_vector(c_wishbone_data_width-1 downto 0); + wb_cyc_i : in std_logic; + wb_sel_i : in std_logic_vector(c_wishbone_data_width/8-1 downto 0); + wb_stb_i : in std_logic; + wb_we_i : in std_logic; + wb_ack_o : out std_logic; + wb_stall_o : out std_logic; + irqs_i : in std_logic_vector(g_num_interrupts-1 downto 0); + irq_master_o : out std_logic); + end component; + + constant c_xwb_vic_sdb : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"01", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"00000000000000ff", + product => ( + vendor_id => x"000000000000CE42", -- CERN + device_id => x"00000013", + version => x"00000002", + date => x"20120113", + name => "WB-VIC-Int.Control "))); + + component xwb_vic + generic ( + g_interface_mode : t_wishbone_interface_mode; + g_address_granularity : t_wishbone_address_granularity; + g_num_interrupts : natural; + g_init_vectors : t_wishbone_address_array := cc_dummy_address_array; + g_retry_timeout : integer := 0); + + port ( + clk_sys_i : in std_logic; + rst_n_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + irqs_i : in std_logic_vector(g_num_interrupts-1 downto 0); + irq_master_o : out std_logic); + end component; + + constant c_wb_serial_lcd_sdb : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"00", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"00000000000000ff", + product => ( + vendor_id => x"0000000000000651", -- GSI + device_id => x"b77a5045", + version => x"00000001", + date => x"20130222", + name => "SERIAL-LCD-DISPLAY "))); + component wb_serial_lcd + generic( + g_cols : natural := 40; + g_rows : natural := 24; + g_hold : natural := 15; -- How many times to repeat a line (for sharpness) + g_wait : natural := 1); -- How many cycles per state change (for 20MHz timing) + port( + slave_clk_i : in std_logic; + slave_rstn_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + di_clk_i : in std_logic; + di_scp_o : out std_logic; + di_lp_o : out std_logic; + di_flm_o : out std_logic; + di_dat_o : out std_logic); + end component; + + function f_wb_spi_flash_sdb(g_bits : natural) return t_sdb_device; + component wb_spi_flash is + generic( + g_port_width : natural := 1; -- 1 for EPCS, 4 for EPCQ + g_addr_width : natural := 24; -- log of memory (24=16MB) + g_idle_time : natural := 3; + g_dummy_time : natural := 8; + -- leave these at defaults if you have: + -- a) slow clock, b) valid constraints, or c) registered in/outputs + g_input_latch_edge : std_logic := '1'; -- rising + g_output_latch_edge : std_logic := '0'; -- falling + g_input_to_output_cycles : natural := 1); -- between 1 and 8 + port( + clk_i : in std_logic; + rstn_i : in std_logic; + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + + -- For properly constrained designs, set clk_out_i = clk_in_i. + clk_out_i : in std_logic; + clk_in_i : in std_logic; + ncs_o : out std_logic; + oe_o : out std_logic_vector(g_port_width-1 downto 0); + asdi_o : out std_logic_vector(g_port_width-1 downto 0); + data_i : in std_logic_vector(g_port_width-1 downto 0); + + external_request_i : in std_logic := '0'; -- JTAG wants to use SPI? + external_granted_o : out std_logic); + end component; + + component xwb_remapper is + generic ( + g_num_ranges : integer := 1; + g_base_in : t_wishbone_address_array; + g_base_out : t_wishbone_address_array; + g_mask_in : t_wishbone_address_array; + g_mask_out : t_wishbone_address_array); + port ( + slave_i : in t_wishbone_slave_in; + slave_o : out t_wishbone_slave_out; + master_i : in t_wishbone_master_in; + master_o : out t_wishbone_master_out); + end component xwb_remapper; + + ----------------------------------------------------------------------------- + -- I2C to Wishbone bridge, following protocol defined with ELMA + ----------------------------------------------------------------------------- + component wb_i2c_bridge is + generic + ( + -- FSM watchdog timeout, see Appendix A in the component documentation for + -- an example of setting this generic + g_fsm_wdt : positive + ); + port + ( + -- Clock, reset + clk_i : in std_logic; + rst_n_i : in std_logic; + + -- I2C lines + scl_i : in std_logic; + scl_o : out std_logic; + scl_en_o : out std_logic; + sda_i : in std_logic; + sda_o : out std_logic; + sda_en_o : out std_logic; + + -- I2C address + i2c_addr_i : in std_logic_vector(6 downto 0); + + -- Status outputs + -- TIP : Transfer In Progress + -- '1' when the I2C slave detects a matching I2C address, thus a + -- transfer is in progress + -- '0' when idle + -- ERR : Error + -- '1' when the SysMon attempts to access an invalid WB slave + -- '0' when idle + -- WDTO : Watchdog timeout (single clock cycle pulse) + -- '1' -- timeout of watchdog occured + -- '0' -- when idle + tip_o : out std_logic; + err_p_o : out std_logic; + wdto_p_o : out std_logic; + + -- Wishbone master signals + wbm_stb_o : out std_logic; + wbm_cyc_o : out std_logic; + wbm_sel_o : out std_logic_vector(3 downto 0); + wbm_we_o : out std_logic; + wbm_dat_i : in std_logic_vector(31 downto 0); + wbm_dat_o : out std_logic_vector(31 downto 0); + wbm_adr_o : out std_logic_vector(31 downto 0); + wbm_ack_i : in std_logic; + wbm_rty_i : in std_logic; + wbm_err_i : in std_logic + ); + end component wb_i2c_bridge; + + ------------------------------------------------------------------------------ + -- MultiBoot component + ------------------------------------------------------------------------------ + component xwb_xil_multiboot is + port + ( + -- Clock and reset input ports + clk_i : in std_logic; + rst_n_i : in std_logic; + + -- Wishbone ports + wbs_i : in t_wishbone_slave_in; + wbs_o : out t_wishbone_slave_out; + + -- SPI ports + spi_cs_n_o : out std_logic; + spi_sclk_o : out std_logic; + spi_mosi_o : out std_logic; + spi_miso_i : in std_logic + ); + end component xwb_xil_multiboot; + + constant c_xwb_xil_multiboot_sdb : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"00", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"000000000000001f", + product => ( + vendor_id => x"000000000000CE42", -- CERN + device_id => x"11da333d", -- echo "WB-Xilinx-MultiBoot" | md5sum | cut -c1-8 + version => x"00000001", + date => x"20140313", + name => "WB-Xilinx-MultiBoot"))); + + constant c_DUMMY_SDB_DEVICE : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"01", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"00000000000000ff", + product => ( + vendor_id => x"000000000000CE42", -- CERN + device_id => x"ffffffff", + version => x"00000001", + date => x"20150722", + name => "Unused-Device "))); + + -- For backward compatibility + constant cc_dummy_sdb_device : t_sdb_device := c_DUMMY_SDB_DEVICE; + +end wishbone_pkg; + +package body wishbone_pkg is + -- f_wb_wr: processes a write reqest to a slave register with select lines. valid modes are "owr", "set" and "clr" + function f_wb_wr(pval : std_logic_vector; ival : std_logic_vector; sel : std_logic_vector; mode : string := "owr") return std_logic_vector is + variable n_sel : std_logic_vector(pval'range); + variable n_val : std_logic_vector(pval'range); + variable result : std_logic_vector(pval'range); + begin + for i in pval'range loop + n_sel(i) := sel((i-pval'low) / 8); -- subtract the low index for when register width > wishbone data width + n_val(i) := ival(i-pval'low); + end loop; + + if(mode = "set") then + result := pval or (n_val and n_sel); + elsif (mode = "clr") then + result := pval and not (n_val and n_sel); + else + result := (pval and not n_sel) or (n_val and n_sel); + end if; + + return result; + end f_wb_wr; + + function f_ceil_log2(x : natural) return natural is + begin + if x <= 1 + then return 0; + else return f_ceil_log2((x+1)/2) +1; + end if; + end f_ceil_log2; + + function f_sdb_embed_product(product : t_sdb_product) + return std_logic_vector -- (319 downto 8) + is + variable result : std_logic_vector(319 downto 8); + begin + result(319 downto 256) := product.vendor_id; + result(255 downto 224) := product.device_id; + result(223 downto 192) := product.version; + result(191 downto 160) := product.date; + for i in 0 to 18 loop -- string to ascii + result(159-i*8 downto 152-i*8) := + std_logic_vector(to_unsigned(character'pos(product.name(i+1)), 8)); + end loop; + return result; + end; + + function f_sdb_extract_product(sdb_record : std_logic_vector(319 downto 8)) + return t_sdb_product + is + variable result : t_sdb_product; + begin + result.vendor_id := sdb_record(319 downto 256); + result.device_id := sdb_record(255 downto 224); + result.version := sdb_record(223 downto 192); + result.date := sdb_record(191 downto 160); + for i in 0 to 18 loop -- ascii to string + result.name(i+1) := character'val(to_integer(unsigned(sdb_record(159-i*8 downto 152-i*8)))); + end loop; + return result; + end; + + function f_sdb_embed_component(sdb_component : t_sdb_component; address : t_wishbone_address) + return std_logic_vector -- (447 downto 8) + is + variable result : std_logic_vector(447 downto 8); + + constant first : unsigned(63 downto 0) := unsigned(sdb_component.addr_first); + constant last : unsigned(63 downto 0) := unsigned(sdb_component.addr_last); + variable base : unsigned(63 downto 0) := (others => '0'); + begin + base(address'length-1 downto 0) := unsigned(address); + + result(447 downto 384) := std_logic_vector(base); + result(383 downto 320) := std_logic_vector(base + last - first); + result(319 downto 8) := f_sdb_embed_product(sdb_component.product); + return result; + end; + + function f_sdb_extract_component(sdb_record : std_logic_vector(447 downto 8)) + return t_sdb_component + is + variable result : t_sdb_component; + begin + result.addr_first := sdb_record(447 downto 384); + result.addr_last := sdb_record(383 downto 320); + result.product := f_sdb_extract_product(sdb_record(319 downto 8)); + return result; + end; + + function f_sdb_embed_device(device : t_sdb_device; address : t_wishbone_address) + return t_sdb_record + is + variable result : t_sdb_record; + begin + result(511 downto 496) := device.abi_class; + result(495 downto 488) := device.abi_ver_major; + result(487 downto 480) := device.abi_ver_minor; + result(479 downto 456) := (others => '0'); + result(455) := device.wbd_endian; + result(454 downto 452) := (others => '0'); + result(451 downto 448) := device.wbd_width; + result(447 downto 8) := f_sdb_embed_component(device.sdb_component, address); + result(7 downto 0) := x"01"; -- device + return result; + end; + + function f_sdb_extract_device(sdb_record : t_sdb_record) + return t_sdb_device + is + variable result : t_sdb_device; + begin + result.abi_class := sdb_record(511 downto 496); + result.abi_ver_major := sdb_record(495 downto 488); + result.abi_ver_minor := sdb_record(487 downto 480); + result.wbd_endian := sdb_record(452); + result.wbd_width := sdb_record(451 downto 448); + result.sdb_component := f_sdb_extract_component(sdb_record(447 downto 8)); + + assert sdb_record(7 downto 0) = x"01" + report "Cannot extract t_sdb_device from record of type " & integer'image(to_integer(unsigned(sdb_record(7 downto 0)))) & "." + severity failure; + + return result; + end; + + function f_sdb_embed_msi(msi : t_sdb_msi; address : t_wishbone_address) + return t_sdb_record + is + variable result : t_sdb_record; + begin + result(511 downto 456) := (others => '0'); + result(455) := msi.wbd_endian; + result(454 downto 452) := (others => '0'); + result(451 downto 448) := msi.wbd_width; + result(447 downto 8) := f_sdb_embed_component(msi.sdb_component, address); + result(7 downto 0) := x"03"; -- msi + return result; + end; + + function f_sdb_extract_msi(sdb_record : t_sdb_record) + return t_sdb_msi + is + variable result : t_sdb_msi; + begin + result.wbd_endian := sdb_record(452); + result.wbd_width := sdb_record(451 downto 448); + result.sdb_component := f_sdb_extract_component(sdb_record(447 downto 8)); + + assert sdb_record(7 downto 0) = x"03" + report "Cannot extract t_sdb_msi from record of type " & integer'image(to_integer(unsigned(sdb_record(7 downto 0)))) & "." + severity failure; + + return result; + end; + + function f_sdb_embed_integration(integr : t_sdb_integration) + return t_sdb_record + is + variable result : t_sdb_record; + begin + result(511 downto 320) := (others => '0'); + result(319 downto 8) := f_sdb_embed_product(integr.product); + result(7 downto 0) := x"80"; -- integration record + return result; + end f_sdb_embed_integration; + + function f_sdb_extract_integration(sdb_record : t_sdb_record) + return t_sdb_integration + is + variable result : t_sdb_integration; + begin + result.product := f_sdb_extract_product(sdb_record(319 downto 8)); + + assert sdb_record(7 downto 0) = x"80" + report "Cannot extract t_sdb_integration from record of type " & Integer'image(to_integer(unsigned(sdb_record(7 downto 0)))) & "." + severity Failure; + + return result; + end f_sdb_extract_integration; + + function f_sdb_embed_repo_url(url : t_sdb_repo_url) + return t_sdb_record + is + variable result : t_sdb_record; + begin + result(511 downto 8) := f_string2svl(url.repo_url); + result( 7 downto 0) := x"81"; -- repo_url record + return result; + end; + + function f_sdb_extract_repo_url(sdb_record : t_sdb_record) + return t_sdb_repo_url + is + variable result : t_sdb_repo_url; + begin + result.repo_url := f_slv2string(sdb_record(511 downto 8)); + + assert sdb_record(7 downto 0) = x"81" + report "Cannot extract t_sdb_repo_url from record of type " & Integer'image(to_integer(unsigned(sdb_record(7 downto 0)))) & "." + severity Failure; + + return result; + end; + + function f_sdb_embed_synthesis(syn : t_sdb_synthesis) + return t_sdb_record + is + variable result : t_sdb_record; + begin + result(511 downto 384) := f_string2svl(syn.syn_module_name); + result(383 downto 256) := f_string2bits(syn.syn_commit_id); + result(255 downto 192) := f_string2svl(syn.syn_tool_name); + result(191 downto 160) := syn.syn_tool_version; + result(159 downto 128) := syn.syn_date; + result(127 downto 8) := f_string2svl(syn.syn_username); + result( 7 downto 0) := x"82"; -- synthesis record + return result; + end; + + function f_sdb_extract_synthesis(sdb_record : t_sdb_record) + return t_sdb_synthesis + is + variable result : t_sdb_synthesis; + begin + result.syn_module_name := f_slv2string(sdb_record(511 downto 384)); + result.syn_commit_id := f_bits2string(sdb_record(383 downto 256)); + result.syn_tool_name := f_slv2string(sdb_record(255 downto 192)); + result.syn_tool_version := sdb_record(191 downto 160); + result.syn_date := sdb_record(159 downto 128); + result.syn_username := f_slv2string(sdb_record(127 downto 8)); + + assert sdb_record(7 downto 0) = x"82" + report "Cannot extract t_sdb_repo_url from record of type " & Integer'image(to_integer(unsigned(sdb_record(7 downto 0)))) & "." + severity Failure; + + return result; + end; + + function f_sdb_embed_bridge(bridge : t_sdb_bridge; address : t_wishbone_address) + return t_sdb_record + is + variable result : t_sdb_record; + + constant first : unsigned(63 downto 0) := unsigned(bridge.sdb_component.addr_first); + constant child : unsigned(63 downto 0) := unsigned(bridge.sdb_child); + variable base : unsigned(63 downto 0) := (others => '0'); + begin + base(address'length-1 downto 0) := unsigned(address); + + result(511 downto 448) := std_logic_vector(base + child - first); + result(447 downto 8) := f_sdb_embed_component(bridge.sdb_component, address); + result(7 downto 0) := x"02"; -- bridge + return result; + end; + + function f_sdb_extract_bridge(sdb_record : t_sdb_record) + return t_sdb_bridge + is + variable result : t_sdb_bridge; + begin + result.sdb_child := sdb_record(511 downto 448); + result.sdb_component := f_sdb_extract_component(sdb_record(447 downto 8)); + + assert sdb_record(7 downto 0) = x"02" + report "Cannot extract t_sdb_bridge from record of type " & integer'image(to_integer(unsigned(sdb_record(7 downto 0)))) & "." + severity failure; + + return result; + end; + + function f_sdb_auto_device(device : t_sdb_device; enable : boolean := true; name: string := "") + return t_sdb_record + is + constant c_zero : t_wishbone_address := (others => '0'); + variable v_device: t_sdb_device := device; + variable v_empty : t_sdb_record := (others => '0'); + begin + v_empty(7 downto 0) := x"f1"; + if name /= "" then + v_device.sdb_component.product.name := f_string_fix_len(name , 19, ' ', false); + end if; + if enable then + v_empty := f_sdb_embed_device(v_device, c_zero); + end if; + return v_empty; + end f_sdb_auto_device; + + function f_sdb_auto_bridge(bridge : t_sdb_bridge; enable : boolean := true; name: string := "") + return t_sdb_record + is + constant c_zero : t_wishbone_address := (others => '0'); + variable v_bridge: t_sdb_bridge := bridge; + variable v_empty : t_sdb_record := (others => '0'); + begin + v_empty(7 downto 0) := x"f2"; + if name /= "" then + v_bridge.sdb_component.product.name := f_string_fix_len(name , 19, ' ', false); + end if; + if enable then + v_empty := f_sdb_embed_bridge(v_bridge, c_zero); + end if; + return v_empty; + end f_sdb_auto_bridge; + + function f_sdb_auto_msi(msi : t_sdb_msi; enable : boolean := true) + return t_sdb_record + is + constant c_zero : t_wishbone_address := (others => '0'); + variable v_empty : t_sdb_record := (others => '0'); + begin + v_empty(7 downto 0) := x"f3"; + if enable then + return f_sdb_embed_msi(msi, c_zero); + else + return v_empty; + end if; + end f_sdb_auto_msi; + + subtype t_usdb_address is unsigned(63 downto 0); + type t_usdb_address_array is array(natural range <>) of t_usdb_address; + + -- We map devices by placing the smallest ones first. + -- This is guaranteed to pack the maximum number of devices in the smallest space. + -- If a device has an address != 0, we leave it alone and let the crossbar confirm + -- that the address does not cause a conflict. + function f_sdb_auto_layout_helper(records : t_sdb_record_array) + return t_usdb_address_array + is + alias c_records : t_sdb_record_array(records'length-1 downto 0) is records; + constant c_zero : t_usdb_address := (others => '0'); + + constant c_used_entries : natural := c_records'length + 1; + constant c_rom_entries : natural := 2**f_ceil_log2(c_used_entries); + constant c_rom_bytes : natural := c_rom_entries * c_sdb_device_length / 8; + + variable v_component : t_sdb_component; + variable v_sizes : t_usdb_address_array(c_records'length downto 0); + variable v_address : t_usdb_address_array(c_records'length downto 0); + variable v_bus_map : std_logic_vector(c_records'length downto 0) := (others => '0'); + variable v_bus_cursor: unsigned(63 downto 0) := (others => '0'); + variable v_msi_map : std_logic_vector(c_records'length downto 0) := (others => '0'); + variable v_msi_cursor: unsigned(63 downto 0) := (others => '0'); + variable v_increment : unsigned(63 downto 0) := (others => '0'); + variable v_type : std_logic_vector(7 downto 0); + begin + -- First, extract the length of the devices, ignoring those not to be mapped + for i in c_records'range loop + v_component := f_sdb_extract_component(c_records(i)(447 downto 8)); + v_sizes(i) := unsigned(v_component.addr_last); + v_address(i) := unsigned(v_component.addr_first); + + -- Silently round up to a power of two; the crossbar will give a warning for us + for j in 62 downto 0 loop + v_sizes(i)(j) := v_sizes(i)(j+1) or v_sizes(i)(j); + end loop; + + -- Only map devices/bridges at address zero + if v_address(i) = c_zero then + v_type := c_records(i)(7 downto 0); + case v_type is + when x"01" => v_bus_map(i) := '1'; + when x"02" => v_bus_map(i) := '1'; + when x"03" => v_msi_map(i) := '1'; + when others => null; + end case; + end if; + end loop; + + -- Assign the SDB record a spot as well + v_address(c_records'length) := (others => '0'); + v_sizes(c_records'length) := to_unsigned(c_rom_bytes-1, 64); + v_bus_map(c_records'length) := '1'; + + -- Start assigning addresses + for j in 0 to 63 loop + v_increment := (others => '0'); + v_increment(j) := '1'; + + for i in 0 to c_records'length loop + if v_bus_map(i) = '1' and v_sizes(i)(j) = '0' then + v_bus_map(i) := '0'; + v_address(i) := v_bus_cursor; + v_bus_cursor := v_bus_cursor + v_increment; + end if; + if v_msi_map(i) = '1' and v_sizes(i)(j) = '0' then + v_msi_map(i) := '0'; + v_address(i) := v_msi_cursor; + v_msi_cursor := v_msi_cursor + v_increment; + end if; + end loop; + + -- Round up to the next required alignment + if v_bus_cursor(j) = '1' then + v_bus_cursor := v_bus_cursor + v_increment; + end if; + if v_msi_cursor(j) = '1' then + v_msi_cursor := v_msi_cursor + v_increment; + end if; + end loop; + + return v_address; + end f_sdb_auto_layout_helper; + + function f_sdb_auto_layout(records : t_sdb_record_array) + return t_sdb_record_array + is + alias c_records : t_sdb_record_array(records'length-1 downto 0) is records; + variable v_typ : std_logic_vector(7 downto 0); + variable v_result : t_sdb_record_array(c_records'range) := c_records; + constant c_address : t_usdb_address_array := f_sdb_auto_layout_helper(c_records); + variable v_address : t_wishbone_address; + begin + -- Put the addresses into the mapping + for i in v_result'range loop + v_typ := c_records(i)(7 downto 0); + v_address := std_logic_vector(c_address(i)(t_wishbone_address'range)); + + case v_typ is + when x"01" => v_result(i) := f_sdb_embed_device(f_sdb_extract_device(v_result(i)), v_address); + when x"02" => v_result(i) := f_sdb_embed_bridge(f_sdb_extract_bridge(v_result(i)), v_address); + when x"03" => v_result(i) := f_sdb_embed_msi (f_sdb_extract_msi (v_result(i)), v_address); + when others => null; + end case; + end loop; + + return v_result; + end f_sdb_auto_layout; + + function f_sdb_auto_layout(slaves : t_sdb_record_array; masters : t_sdb_record_array) + return t_sdb_record_array + is begin + return f_sdb_auto_layout(masters & slaves); + end f_sdb_auto_layout; + + function f_sdb_auto_sdb(records : t_sdb_record_array) + return t_wishbone_address + is + alias c_records : t_sdb_record_array(records'length-1 downto 0) is records; + constant c_address : t_usdb_address_array(c_records'length downto 0) := f_sdb_auto_layout_helper(c_records); + begin + return std_logic_vector(c_address(c_records'length)(t_wishbone_address'range)); + end f_sdb_auto_sdb; + + function f_sdb_auto_sdb(slaves : t_sdb_record_array; masters : t_sdb_record_array) + return t_wishbone_address + is begin + return f_sdb_auto_sdb(masters & slaves); + end f_sdb_auto_sdb; + +--**************************************************************************************************************************-- +-- START MAT's NEW FUNCTIONS FROM 18th Oct 2013 +------------------------------------------------------------------------------------------------------------------------------ + + + function f_sdb_create_array(g_enum_dev_id : boolean := false; + g_dev_id_offs : natural := 0; + g_enum_dev_name : boolean := false; + g_dev_name_offs : natural := 0; + device : t_sdb_device; + instances : natural := 1) + return t_sdb_record_array is + variable result : t_sdb_record_array(instances-1 downto 0); + variable pos : natural; + variable dev, newdev : t_sdb_device; + variable serial_no : string(1 to 3); + begin + dev := device; + + report "### Creating " & integer'image(instances) & " x " & dev.sdb_component.product.name + severity note; + for i in 0 to instances-1 loop + newdev := dev; + if(g_enum_dev_id) then + dev.sdb_component.product.device_id := + std_logic_vector( unsigned(dev.sdb_component.product.device_id) + + to_unsigned(i+g_dev_id_offs, dev.sdb_component.product.device_id'length)); + end if; + if(g_enum_dev_name) then + -- find end of name + + for j in dev.sdb_component.product.name'length downto 1 loop + if(dev.sdb_component.product.name(j) /= ' ') then + pos := j; + exit; + end if; + end loop; + -- convert i+g_dev_name_offs to string + serial_no := f_string_fix_len(integer'image(i+g_dev_name_offs), serial_no'length); + report "### Now: " & serial_no & " of " & dev.sdb_component.product.name severity note; + -- check if space is sufficient + assert (serial_no'length+1 <= dev.sdb_component.product.name'length - pos) + report "Not enough space in namestring of sdb_device " & dev.sdb_component.product.name + & " to add serial number " & serial_no & ". Space available " & + integer'image(dev.sdb_component.product.name'length-pos-1) & ", required " + & integer'image(serial_no'length+1) + severity Failure; + end if; + if(g_enum_dev_name) then + newdev.sdb_component.product.name(pos+1) := '_'; + for j in 1 to serial_no'length loop + newdev.sdb_component.product.name(pos+1+j) := serial_no(j); + end loop; + end if; + + -- insert + report "### to: " & newdev.sdb_component.product.name severity note; + result(i) := f_sdb_embed_device(newdev, (others=>'0')); + end loop; + return result; + end f_sdb_create_array; + + function f_sdb_join_arrays(a : t_sdb_record_array; b : t_sdb_record_array) return t_sdb_record_array is + variable result : t_sdb_record_array(a'length+b'length-1 downto 0); + begin + for i in 0 to a'left loop + result(i) := a(i); + end loop; + for i in 0 to b'left loop + result(i+a'length) := b(i); + end loop; + return result; + end f_sdb_join_arrays; + + + function f_sdb_extract_base_addr(sdb_record : t_sdb_record) return std_logic_vector is + begin + return sdb_record(447 downto 384); + end f_sdb_extract_base_addr; + + function f_sdb_extract_end_addr(sdb_record : t_sdb_record) return std_logic_vector is + begin + return sdb_record(383 downto 320); + end f_sdb_extract_end_addr; + + + function f_align_addr_offset(offs : unsigned; this_rng : unsigned; prev_rng : unsigned) + return unsigned is + variable this_pow, prev_pow : natural; + variable start, env, result : unsigned(63 downto 0) := (others => '0'); + begin + start(offs'left downto 0) := offs; + --calculate address envelopes (next power of 2) for previous and this component and choose the larger one + this_pow := f_hot_to_bin(std_logic_vector(this_rng)); + prev_pow := f_hot_to_bin(std_logic_vector(prev_rng)); + -- no max(). thank you very much, std_numeric :-/ + if(this_pow >= prev_pow) then + env(this_pow) := '1'; + else + env(prev_pow) := '1'; + end if; + --round up to the next multiple of the envelope... + if(prev_rng /= 0) then + result := start + env - (start mod env); + else + result := start; --...except for first element, result is start. + end if; + return result; + end f_align_addr_offset; + + + -- generates aligned address map for an sdb_record_array, accepts optional start offset + function f_sdb_automap_array(sdb_array : t_sdb_record_array; start_offset : t_wishbone_address := (others => '0')) + return t_sdb_record_array is + constant len : natural := sdb_array'length; + variable this_rng : unsigned(63 downto 0) := (others => '0'); + variable prev_rng : unsigned(63 downto 0) := (others => '0'); + variable prev_offs : unsigned(63 downto 0) := (others => '0'); + variable this_offs : unsigned(63 downto 0) := (others => '0'); + variable device : t_sdb_device; + variable bridge : t_sdb_bridge; + variable sdb_type : std_logic_vector(7 downto 0); + variable result : t_sdb_record_array(sdb_array'length-1 downto 0); -- last + begin + + prev_offs(start_offset'left downto 0) := unsigned(start_offset); + --traverse the array + for i in 0 to len-1 loop + -- find the fitting extraction function by evaling the type byte. + -- could also use the component, but it's safer to use Wes' embed and extract functions. + sdb_type := sdb_array(i)(7 downto 0); + case sdb_type is + --device + when x"01" => device := f_sdb_extract_device(sdb_array(i)); + this_rng := unsigned(device.sdb_component.addr_last) - unsigned(device.sdb_component.addr_first); + this_offs := f_align_addr_offset(prev_offs, this_rng, prev_rng); + result(i) := f_sdb_embed_device(device, std_logic_vector(this_offs(31 downto 0))); + --bridge + when x"02" => bridge := f_sdb_extract_bridge(sdb_array(i)); + this_rng := unsigned(bridge.sdb_component.addr_last) - unsigned(bridge.sdb_component.addr_first); + this_offs := f_align_addr_offset(prev_offs, this_rng, prev_rng); + result(i) := f_sdb_embed_bridge(bridge, std_logic_vector(this_offs(31 downto 0)) ); + --other + when others => result(i) := sdb_array(i); + end case; + -- doesnt hurt because this_* doesnt change if its not a device or bridge + prev_rng := this_rng; + prev_offs := this_offs; + end loop; + report "##* " & integer'image(sdb_array'length) & " Elements, last address: " & f_bits2string(std_logic_vector(this_offs+this_rng)) severity Note; + return result; + end f_sdb_automap_array; + + + -- find place for sdb rom on crossbar and return address. try to put it in an address gap. + function f_sdb_create_rom_addr(sdb_array : t_sdb_record_array) return t_wishbone_address is + constant len : natural := sdb_array'length; + constant rom_bytes : natural := (2**f_ceil_log2(sdb_array'length + 1)) * (c_sdb_device_length / 8); + variable result : t_wishbone_address := (others => '0'); + variable this_base, this_end : unsigned(63 downto 0) := (others => '0'); + variable prev_base, prev_end : unsigned(63 downto 0) := (others => '0'); + variable rom_base : unsigned(63 downto 0) := (others => '0'); + variable sdb_type : std_logic_vector(7 downto 0); + begin + --traverse the array + for i in 0 to len-1 loop + sdb_type := sdb_array(i)(7 downto 0); + if(sdb_type = x"01" or sdb_type = x"02") then + -- get + this_base := unsigned(f_sdb_extract_base_addr(sdb_array(i))); + this_end := unsigned(f_sdb_extract_end_addr(sdb_array(i))); + if(unsigned(result) = 0) then + rom_base := f_align_addr_offset(prev_base, to_unsigned(rom_bytes-1, 64), (prev_end-prev_base)); + if(rom_base + to_unsigned(rom_bytes, 64) <= this_base) then + result := std_logic_vector(rom_base(t_wishbone_address'left downto 0)); + end if; + end if; + prev_base := this_base; + prev_end := this_end; + end if; + end loop; + -- if there was no gap to fit the sdb rom, place it at the end + if(unsigned(result) = 0) then + result := std_logic_vector(f_align_addr_offset(this_base, to_unsigned(rom_bytes-1, 64), + this_end-this_base)(t_wishbone_address'left downto 0)); + end if; + return result; + end f_sdb_create_rom_addr; +------------------------------------------------------------------------------------------------------------------------------ +-- END MAT's NEW FUNCTIONS FROM 18th Oct 2013 +------------------------------------------------------------------------------------------------------------------------------ + + function f_sdb_bus_end( + g_wraparound : boolean; + g_layout : t_sdb_record_array; + g_sdb_addr : t_wishbone_address; + msi : boolean) return unsigned + is + alias c_layout : t_sdb_record_array(g_layout'length-1 downto 0) is g_layout; + + -- How much space does the ROM need? + constant c_used_entries : natural := c_layout'length + 1; + constant c_rom_entries : natural := 2**f_ceil_log2(c_used_entries); -- next power of 2 + constant c_sdb_bytes : natural := c_sdb_device_length / 8; + constant c_rom_bytes : natural := c_rom_entries * c_sdb_bytes; + + variable result : unsigned(63 downto 0) := (others => '0'); + variable typ : std_logic_vector(7 downto 0); + variable last : unsigned(63 downto 0); + begin + if not msi then + -- The ROM will be an addressed slave as well + result := (others => '0'); + result(g_sdb_addr'range) := unsigned(g_sdb_addr); + result := result + to_unsigned(c_rom_bytes, 64) - 1; + end if; + + for i in c_layout'range loop + typ := c_layout(i)(7 downto 0); + last := unsigned(f_sdb_extract_component(c_layout(i)(447 downto 8)).addr_last); + case typ is + when x"01" => if not msi and last > result then result := last; end if; + when x"02" => if not msi and last > result then result := last; end if; + when x"03" => if msi and last > result then result := last; end if; + when others => null; + end case; + end loop; + + -- round result up to a power of two -1 + for i in 62 downto 0 loop + result(i) := result(i) or result(i+1); + end loop; + + if not g_wraparound then + result := (others => '0'); + for i in 0 to c_wishbone_address_width-1 loop + result(i) := '1'; + end loop; + end if; + + return result; + end f_sdb_bus_end; + + function f_xwb_bridge_manual_sdb( + g_size : t_wishbone_address; + g_sdb_addr : t_wishbone_address) return t_sdb_bridge + is + variable result : t_sdb_bridge; + begin + result.sdb_child := (others => '0'); + result.sdb_child(c_wishbone_address_width-1 downto 0) := g_sdb_addr; + + result.sdb_component.addr_first := (others => '0'); + result.sdb_component.addr_last := (others => '0'); + result.sdb_component.addr_last(c_wishbone_address_width-1 downto 0) := g_size; + + result.sdb_component.product.vendor_id := x"0000000000000651"; -- GSI + result.sdb_component.product.device_id := x"eef0b198"; + result.sdb_component.product.version := x"00000001"; + result.sdb_component.product.date := x"20120511"; + result.sdb_component.product.name := "WB4-Bridge-GSI "; + + return result; + end f_xwb_bridge_manual_sdb; + + function f_xwb_bridge_layout_sdb( -- determine bus size from layout + g_wraparound : boolean := true; + g_layout : t_sdb_record_array; + g_sdb_addr : t_wishbone_address) return t_sdb_bridge + is + variable address : t_wishbone_address; + begin + address := std_logic_vector(f_sdb_bus_end(g_wraparound, g_layout, g_sdb_addr, false)(address'range)); + return f_xwb_bridge_manual_sdb(address, g_sdb_addr); + end f_xwb_bridge_layout_sdb; + + function f_xwb_msi_manual_sdb( + g_size : t_wishbone_address) return t_sdb_msi + is + variable result : t_sdb_msi; + begin + result.wbd_endian := '0'; + result.wbd_width := x"7"; + + result.sdb_component.addr_first := (others => '0'); + result.sdb_component.addr_last := (others => '0'); + result.sdb_component.addr_last(c_wishbone_address_width-1 downto 0) := g_size; + + result.sdb_component.product.vendor_id := x"0000000000000651"; -- GSI + result.sdb_component.product.device_id := x"aa7bfb3c"; + result.sdb_component.product.version := x"00000001"; + result.sdb_component.product.date := x"20160422"; + result.sdb_component.product.name := "WB4-MSI-Bridge-GSI "; + + return result; + end f_xwb_msi_manual_sdb; + + function f_xwb_msi_layout_sdb( -- determine MSI size from layout + g_layout : t_sdb_record_array) return t_sdb_msi + is + constant zero : t_wishbone_address := (others => '0'); + variable address : t_wishbone_address; + begin + address := std_logic_vector(f_sdb_bus_end(true, g_layout, zero, true)(address'range)); + return f_xwb_msi_manual_sdb(address); + end f_xwb_msi_layout_sdb; + + function f_xwb_dpram(g_size : natural) return t_sdb_device + is + variable result : t_sdb_device; + begin + result.abi_class := x"0001"; -- RAM device + result.abi_ver_major := x"01"; + result.abi_ver_minor := x"00"; + result.wbd_width := x"7"; -- 32/16/8-bit supported + result.wbd_endian := c_sdb_endian_big; + + result.sdb_component.addr_first := (others => '0'); + result.sdb_component.addr_last := std_logic_vector(to_unsigned(g_size*4-1, 64)); + + result.sdb_component.product.vendor_id := x"000000000000CE42"; -- CERN + result.sdb_component.product.device_id := x"66cfeb52"; + result.sdb_component.product.version := x"00000001"; + result.sdb_component.product.date := x"20120305"; + result.sdb_component.product.name := "WB4-BlockRAM "; + + return result; + end f_xwb_dpram; + + function f_bits2string(s : std_logic_vector) return string is + --- extend length to full hex nibble + variable result : string((s'length+7)/4 downto 1); + variable s_norm : std_logic_vector(result'length*4-1 downto 0) := (others=>'0'); + variable cut : natural; + variable nibble: std_logic_vector(3 downto 0); + constant len : natural := result'length; + begin + s_norm(s'length-1 downto 0) := s; + for i in len-1 downto 0 loop + nibble := s_norm(i*4+3 downto i*4); + case nibble is + when "0000" => result(i+1) := '0'; + when "0001" => result(i+1) := '1'; + when "0010" => result(i+1) := '2'; + when "0011" => result(i+1) := '3'; + when "0100" => result(i+1) := '4'; + when "0101" => result(i+1) := '5'; + when "0110" => result(i+1) := '6'; + when "0111" => result(i+1) := '7'; + when "1000" => result(i+1) := '8'; + when "1001" => result(i+1) := '9'; + when "1010" => result(i+1) := 'a'; + when "1011" => result(i+1) := 'b'; + when "1100" => result(i+1) := 'c'; + when "1101" => result(i+1) := 'd'; + when "1110" => result(i+1) := 'e'; + when "1111" => result(i+1) := 'f'; + when others => result(i+1) := 'X'; + end case; + end loop; + + -- trim leading 0s + strip : for i in result'length downto 1 loop + cut := i; + exit strip when result(i) /= '0'; + end loop; + + return "0x" & result(cut downto 1); + end f_bits2string; + + -- Converts string (hex number, without leading 0x) to std_logic_vector + function f_string2bits(s : string) return std_logic_vector is + constant len : natural := s'length; + variable slv : std_logic_vector(s'length*4-1 downto 0); + variable nibble : std_logic_vector(3 downto 0); + begin + for i in 0 to len-1 loop + case s(i+1) is + when '0' => nibble := X"0"; + when '1' => nibble := X"1"; + when '2' => nibble := X"2"; + when '3' => nibble := X"3"; + when '4' => nibble := X"4"; + when '5' => nibble := X"5"; + when '6' => nibble := X"6"; + when '7' => nibble := X"7"; + when '8' => nibble := X"8"; + when '9' => nibble := X"9"; + when 'a' => nibble := X"A"; + when 'A' => nibble := X"A"; + when 'b' => nibble := X"B"; + when 'B' => nibble := X"B"; + when 'c' => nibble := X"C"; + when 'C' => nibble := X"C"; + when 'd' => nibble := X"D"; + when 'D' => nibble := X"D"; + when 'e' => nibble := X"E"; + when 'E' => nibble := X"E"; + when 'f' => nibble := X"F"; + when 'F' => nibble := X"F"; + when others => nibble := "XXXX"; + end case; + if s'ascending then + slv(slv'length-(i*4)-1 downto slv'length-(i+1)*4) := nibble; + else + slv(((i+1)*4)-1 downto i*4) := nibble; + end if; + end loop; + return slv; + end f_string2bits; + + -- Converts string to ascii (std_logic_vector) + function f_string2svl (s : string) return std_logic_vector is + constant len : natural := s'length; + alias as : string(1 to len) is s; + variable slv : std_logic_vector((len * 8) - 1 downto 0); + begin + for i in 0 to len-1 loop + slv(slv'high-i*8 downto (slv'high-7)-i*8) := + std_logic_vector(to_unsigned(character'pos(as(i+1)), 8)); + end loop; + return slv; + end f_string2svl; + + -- Converts ascii (std_logic_vector) to string + function f_slv2string (slv : std_logic_vector) return string is + constant len : natural := slv'length; + variable s : string(1 to slv'length/8); + begin + for i in 0 to (len/8)-1 loop + s(i+1) := character'val(to_integer(unsigned(slv(slv'high-i*8 downto (slv'high-7)-i*8)))); + end loop; + return s; + end f_slv2string; + + -- pads a string of unknown length to a given length (useful for integer'image) + function f_string_fix_len ( s : string; ret_len : natural := 10; fill_char : character := '0'; justify_right : boolean := true ) return string is + variable ret_v : string (1 to ret_len); + constant pad_len : integer := ret_len - s'length ; + variable pad_v : string (1 to abs(pad_len)); + begin + if pad_len < 1 then + ret_v := s(ret_v'range); + else + pad_v := (others => fill_char); + if justify_right then + ret_v := pad_v & s; + else + ret_v := s & pad_v ; + end if; + end if; + return ret_v; + end f_string_fix_len; + + -- do not synthesize + function f_hot_to_bin(x : std_logic_vector) return natural is + variable rv : natural; + begin + rv := 0; + -- if there are few ones set in _x_ then the most significant will be + -- translated to bin + for i in 0 to x'left loop + if x(i) = '1' then + rv := i+1; + end if; + end loop; + return rv; + end function; + + function f_wb_spi_flash_sdb(g_bits : natural) return t_sdb_device is + variable result : t_sdb_device := ( + abi_class => x"0000", -- undocumented device + abi_ver_major => x"01", + abi_ver_minor => x"02", + wbd_endian => c_sdb_endian_big, + wbd_width => x"7", -- 8/16/32-bit port granularity + sdb_component => ( + addr_first => x"0000000000000000", + addr_last => x"0000000000ffffff", + product => ( + vendor_id => x"0000000000000651", -- GSI + device_id => x"5cf12a1c", + version => x"00000002", + date => x"20140417", + name => "SPI-FLASH-16M-MMAP "))); + begin + result.sdb_component.addr_last := std_logic_vector(to_unsigned(2**g_bits-1, 64)); + return result; + end f_wb_spi_flash_sdb; + +end wishbone_pkg; \ No newline at end of file diff --git a/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.ipx b/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.ipx new file mode 100644 index 0000000..1846532 --- /dev/null +++ b/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.ipx @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.lpc b/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.lpc new file mode 100644 index 0000000..0ba59e8 --- /dev/null +++ b/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.lpc @@ -0,0 +1,50 @@ +[Device] +Family=latticeecp3 +PartType=LFE3-150EA +PartName=LFE3-150EA-8FN1156C +SpeedGrade=8 +Package=FPBGA1156 +OperatingCondition=COM +Status=P + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO_DC +CoreRevision=5.8 +ModuleName=fifo_2kx34x17_wcnt +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=02/25/2021 +Time=10:15:48 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=2048 +Width=34 +RDepth=4096 +RWidth=17 +regout=0 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=0 +PfMode=Static - Dual Threshold +PfAssert=508 +PfDeassert=506 +RDataCount=0 +WDataCount=1 +EnECC=0 + +[Command] +cmd_line= -w -n fifo_2kx34x17_wcnt -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type fifodc -addr_width 11 -data_width 34 -num_words 2048 -rdata_width 17 -no_enable -pe -1 -pf -1 -fill diff --git a/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.vhd b/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.vhd new file mode 100644 index 0000000..77fadbe --- /dev/null +++ b/src/DCA_cores/ecp3/fifo_2kx34x17_wcnt/fifo_2kx34x17_wcnt.vhd @@ -0,0 +1,1822 @@ +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.11.2.446 +-- Module Version: 5.8 +--/usr/local/diamond/3.11_x64/ispfpga/bin/lin64/scuba -w -n fifo_2kx34x17_wcnt -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type ebfifo -depth 2048 -width 34 -depth 2048 -rdata_width 17 -no_enable -pe -1 -pf -1 -fill + +-- Thu Feb 25 10:15:48 2021 + +library IEEE; +use IEEE.std_logic_1164.all; +-- synopsys translate_off +library ecp3; +use ecp3.components.all; +-- synopsys translate_on + +entity fifo_2kx34x17_wcnt is + port ( + Data: in std_logic_vector(33 downto 0); + WrClock: in std_logic; + RdClock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + RPReset: in std_logic; + Q: out std_logic_vector(16 downto 0); + WCNT: out std_logic_vector(11 downto 0); + Empty: out std_logic; + Full: out std_logic); +end fifo_2kx34x17_wcnt; + +architecture Structure of fifo_2kx34x17_wcnt is + + -- internal signal declarations + signal invout_1: std_logic; + signal invout_0: std_logic; + signal w_g2b_xor_cluster_2_1: std_logic; + signal w_g2b_xor_cluster_2: std_logic; + signal w_g2b_xor_cluster_1: std_logic; + signal r_g2b_xor_cluster_2_1: std_logic; + signal rcount_w0: std_logic; + signal r_g2b_xor_cluster_2: std_logic; + signal r_g2b_xor_cluster_1: std_logic; + signal w_gdata_0: std_logic; + signal w_gdata_1: std_logic; + signal w_gdata_2: std_logic; + signal w_gdata_3: std_logic; + signal w_gdata_4: std_logic; + signal w_gdata_5: std_logic; + signal w_gdata_6: std_logic; + signal w_gdata_7: std_logic; + signal w_gdata_8: std_logic; + signal w_gdata_9: std_logic; + signal w_gdata_10: std_logic; + signal wptr_11: std_logic; + signal r_gdata_0: std_logic; + signal r_gdata_1: std_logic; + signal r_gdata_2: std_logic; + signal r_gdata_3: std_logic; + signal r_gdata_4: std_logic; + signal r_gdata_5: std_logic; + signal r_gdata_6: std_logic; + signal r_gdata_7: std_logic; + signal r_gdata_8: std_logic; + signal r_gdata_9: std_logic; + signal r_gdata_10: std_logic; + signal r_gdata_11: std_logic; + signal rptr_0: std_logic; + signal rptr_1: std_logic; + signal rptr_2: std_logic; + signal rptr_3: std_logic; + signal rptr_4: std_logic; + signal rptr_5: std_logic; + signal rptr_6: std_logic; + signal rptr_7: std_logic; + signal rptr_8: std_logic; + signal rptr_9: std_logic; + signal rptr_10: std_logic; + signal rptr_12: std_logic; + signal rptr_11: std_logic; + signal w_gcount_0: std_logic; + signal w_gcount_1: std_logic; + signal w_gcount_2: std_logic; + signal w_gcount_3: std_logic; + signal w_gcount_4: std_logic; + signal w_gcount_5: std_logic; + signal w_gcount_6: std_logic; + signal w_gcount_7: std_logic; + signal w_gcount_8: std_logic; + signal w_gcount_9: std_logic; + signal w_gcount_10: std_logic; + signal w_gcount_11: std_logic; + signal r_gcount_0: std_logic; + signal r_gcount_1: std_logic; + signal r_gcount_2: std_logic; + signal r_gcount_3: std_logic; + signal r_gcount_4: std_logic; + signal r_gcount_5: std_logic; + signal r_gcount_6: std_logic; + signal r_gcount_7: std_logic; + signal r_gcount_8: std_logic; + signal r_gcount_9: std_logic; + signal r_gcount_10: std_logic; + signal r_gcount_11: std_logic; + signal r_gcount_12: std_logic; + signal w_gcount_r20: std_logic; + signal w_gcount_r0: std_logic; + signal w_gcount_r21: std_logic; + signal w_gcount_r1: std_logic; + signal w_gcount_r22: std_logic; + signal w_gcount_r2: std_logic; + signal w_gcount_r23: std_logic; + signal w_gcount_r3: std_logic; + signal w_gcount_r24: std_logic; + signal w_gcount_r4: std_logic; + signal w_gcount_r25: std_logic; + signal w_gcount_r5: std_logic; + signal w_gcount_r26: std_logic; + signal w_gcount_r6: std_logic; + signal w_gcount_r27: std_logic; + signal w_gcount_r7: std_logic; + signal w_gcount_r28: std_logic; + signal w_gcount_r8: std_logic; + signal w_gcount_r29: std_logic; + signal w_gcount_r9: std_logic; + signal w_gcount_r210: std_logic; + signal w_gcount_r10: std_logic; + signal w_gcount_r211: std_logic; + signal w_gcount_r11: std_logic; + signal r_gcount_w20: std_logic; + signal r_gcount_w0: std_logic; + signal r_gcount_w21: std_logic; + signal r_gcount_w1: std_logic; + signal r_gcount_w22: std_logic; + signal r_gcount_w2: std_logic; + signal r_gcount_w23: std_logic; + signal r_gcount_w3: std_logic; + signal r_gcount_w24: std_logic; + signal r_gcount_w4: std_logic; + signal r_gcount_w25: std_logic; + signal r_gcount_w5: std_logic; + signal r_gcount_w26: std_logic; + signal r_gcount_w6: std_logic; + signal r_gcount_w27: std_logic; + signal r_gcount_w7: std_logic; + signal r_gcount_w28: std_logic; + signal r_gcount_w8: std_logic; + signal r_gcount_w29: std_logic; + signal r_gcount_w9: std_logic; + signal r_gcount_w210: std_logic; + signal r_gcount_w10: std_logic; + signal r_gcount_w211: std_logic; + signal r_gcount_w11: std_logic; + signal r_gcount_w212: std_logic; + signal r_gcount_w12: std_logic; + signal empty_i: std_logic; + signal rRst: std_logic; + signal full_i: std_logic; + signal iwcount_0: std_logic; + signal iwcount_1: std_logic; + signal w_gctr_ci: std_logic; + signal iwcount_2: std_logic; + signal iwcount_3: std_logic; + signal co0: std_logic; + signal iwcount_4: std_logic; + signal iwcount_5: std_logic; + signal co1: std_logic; + signal iwcount_6: std_logic; + signal iwcount_7: std_logic; + signal co2: std_logic; + signal iwcount_8: std_logic; + signal iwcount_9: std_logic; + signal co3: std_logic; + signal iwcount_10: std_logic; + signal iwcount_11: std_logic; + signal co5: std_logic; + signal co4: std_logic; + signal wcount_11: std_logic; + signal ircount_0: std_logic; + signal ircount_1: std_logic; + signal r_gctr_ci: std_logic; + signal ircount_2: std_logic; + signal ircount_3: std_logic; + signal co0_1: std_logic; + signal ircount_4: std_logic; + signal ircount_5: std_logic; + signal co1_1: std_logic; + signal ircount_6: std_logic; + signal ircount_7: std_logic; + signal co2_1: std_logic; + signal ircount_8: std_logic; + signal ircount_9: std_logic; + signal co3_1: std_logic; + signal ircount_10: std_logic; + signal ircount_11: std_logic; + signal co4_1: std_logic; + signal ircount_12: std_logic; + signal co6: std_logic; + signal co5_1: std_logic; + signal rcount_12: std_logic; + signal mdout1_1_0: std_logic; + signal mdout1_0_0: std_logic; + signal mdout1_1_1: std_logic; + signal mdout1_0_1: std_logic; + signal mdout1_1_2: std_logic; + signal mdout1_0_2: std_logic; + signal mdout1_1_3: std_logic; + signal mdout1_0_3: std_logic; + signal mdout1_1_4: std_logic; + signal mdout1_0_4: std_logic; + signal mdout1_1_5: std_logic; + signal mdout1_0_5: std_logic; + signal mdout1_1_6: std_logic; + signal mdout1_0_6: std_logic; + signal mdout1_1_7: std_logic; + signal mdout1_0_7: std_logic; + signal mdout1_1_8: std_logic; + signal mdout1_0_8: std_logic; + signal mdout1_1_9: std_logic; + signal mdout1_0_9: std_logic; + signal mdout1_1_10: std_logic; + signal mdout1_0_10: std_logic; + signal mdout1_1_11: std_logic; + signal mdout1_0_11: std_logic; + signal mdout1_1_12: std_logic; + signal mdout1_0_12: std_logic; + signal mdout1_1_13: std_logic; + signal mdout1_0_13: std_logic; + signal mdout1_1_14: std_logic; + signal mdout1_0_14: std_logic; + signal mdout1_1_15: std_logic; + signal mdout1_0_15: std_logic; + signal rptr_11_ff: std_logic; + signal mdout1_1_16: std_logic; + signal mdout1_0_16: std_logic; + signal wfill_sub_0: std_logic; + signal precin: std_logic; + signal scuba_vhi: std_logic; + signal wptr_0: std_logic; + signal wfill_sub_1: std_logic; + signal wfill_sub_2: std_logic; + signal co0_2: std_logic; + signal wptr_1: std_logic; + signal wptr_2: std_logic; + signal wfill_sub_3: std_logic; + signal wfill_sub_4: std_logic; + signal co1_2: std_logic; + signal wptr_3: std_logic; + signal wptr_4: std_logic; + signal wfill_sub_5: std_logic; + signal wfill_sub_6: std_logic; + signal co2_2: std_logic; + signal wptr_5: std_logic; + signal wptr_6: std_logic; + signal wfill_sub_7: std_logic; + signal wfill_sub_8: std_logic; + signal co3_2: std_logic; + signal wptr_7: std_logic; + signal wptr_8: std_logic; + signal wfill_sub_9: std_logic; + signal wfill_sub_10: std_logic; + signal co4_2: std_logic; + signal wptr_9: std_logic; + signal wptr_10: std_logic; + signal wfill_sub_11: std_logic; + signal co5_2: std_logic; + signal wfill_sub_msb: std_logic; + signal rden_i: std_logic; + signal cmp_ci: std_logic; + signal wcount_r0: std_logic; + signal rcount_0: std_logic; + signal rcount_1: std_logic; + signal co0_3: std_logic; + signal wcount_r1: std_logic; + signal wcount_r2: std_logic; + signal rcount_2: std_logic; + signal rcount_3: std_logic; + signal co1_3: std_logic; + signal wcount_r3: std_logic; + signal wcount_r4: std_logic; + signal rcount_4: std_logic; + signal rcount_5: std_logic; + signal co2_3: std_logic; + signal wcount_r5: std_logic; + signal wcount_r6: std_logic; + signal rcount_6: std_logic; + signal rcount_7: std_logic; + signal co3_3: std_logic; + signal wcount_r7: std_logic; + signal w_g2b_xor_cluster_0: std_logic; + signal rcount_8: std_logic; + signal rcount_9: std_logic; + signal co4_3: std_logic; + signal wcount_r9: std_logic; + signal wcount_r10: std_logic; + signal rcount_10: std_logic; + signal rcount_11: std_logic; + signal co5_3: std_logic; + signal empty_cmp_clr: std_logic; + signal empty_cmp_set: std_logic; + signal empty_d: std_logic; + signal empty_d_c: std_logic; + signal wren_i: std_logic; + signal cmp_ci_1: std_logic; + signal rcount_w1: std_logic; + signal rcount_w2: std_logic; + signal wcount_0: std_logic; + signal wcount_1: std_logic; + signal co0_4: std_logic; + signal rcount_w3: std_logic; + signal rcount_w4: std_logic; + signal wcount_2: std_logic; + signal wcount_3: std_logic; + signal co1_4: std_logic; + signal rcount_w5: std_logic; + signal rcount_w6: std_logic; + signal wcount_4: std_logic; + signal wcount_5: std_logic; + signal co2_4: std_logic; + signal rcount_w7: std_logic; + signal rcount_w8: std_logic; + signal wcount_6: std_logic; + signal wcount_7: std_logic; + signal co3_4: std_logic; + signal r_g2b_xor_cluster_0: std_logic; + signal rcount_w10: std_logic; + signal wcount_8: std_logic; + signal wcount_9: std_logic; + signal co4_4: std_logic; + signal rcount_w11: std_logic; + signal full_cmp_clr: std_logic; + signal wcount_10: std_logic; + signal full_cmp_set: std_logic; + signal full_d: std_logic; + signal full_d_c: std_logic; + signal scuba_vlo: std_logic; + + -- local component declarations + component AGEB2 + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; CI: in std_logic; GE: out std_logic); + end component; + component AND2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component CU2 + port (CI: in std_logic; PC0: in std_logic; PC1: in std_logic; + CO: out std_logic; NC0: out std_logic; NC1: out std_logic); + end component; + component FADD2B + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; CI: in std_logic; COUT: out std_logic; + S0: out std_logic; S1: out std_logic); + end component; + component FSUB2B + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; BI: in std_logic; BOUT: out std_logic; + S0: out std_logic; S1: out std_logic); + end component; + component FD1P3BX + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + PD: in std_logic; Q: out std_logic); + end component; + component FD1P3DX + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + CD: in std_logic; Q: out std_logic); + end component; + component FD1S3BX + port (D: in std_logic; CK: in std_logic; PD: in std_logic; + Q: out std_logic); + end component; + component FD1S3DX + port (D: in std_logic; CK: in std_logic; CD: in std_logic; + Q: out std_logic); + end component; + component INV + port (A: in std_logic; Z: out std_logic); + end component; + component MUX21 + port (D0: in std_logic; D1: in std_logic; SD: in std_logic; + Z: out std_logic); + end component; + component OR2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component ROM16X1A + generic (INITVAL : in std_logic_vector(15 downto 0)); + port (AD3: in std_logic; AD2: in std_logic; AD1: in std_logic; + AD0: in std_logic; DO0: out std_logic); + end component; + component VHI + port (Z: out std_logic); + end component; + component VLO + port (Z: out std_logic); + end component; + component XOR2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component DP16KC + generic (GSR : in String; WRITEMODE_B : in String; + WRITEMODE_A : in String; CSDECODE_B : in String; + CSDECODE_A : in String; REGMODE_B : in String; + REGMODE_A : in String; DATA_WIDTH_B : in Integer; + DATA_WIDTH_A : in Integer); + port (DIA0: in std_logic; DIA1: in std_logic; + DIA2: in std_logic; DIA3: in std_logic; + DIA4: in std_logic; DIA5: in std_logic; + DIA6: in std_logic; DIA7: in std_logic; + DIA8: in std_logic; DIA9: in std_logic; + DIA10: in std_logic; DIA11: in std_logic; + DIA12: in std_logic; DIA13: in std_logic; + DIA14: in std_logic; DIA15: in std_logic; + DIA16: in std_logic; DIA17: in std_logic; + ADA0: in std_logic; ADA1: in std_logic; + ADA2: in std_logic; ADA3: in std_logic; + ADA4: in std_logic; ADA5: in std_logic; + ADA6: in std_logic; ADA7: in std_logic; + ADA8: in std_logic; ADA9: in std_logic; + ADA10: in std_logic; ADA11: in std_logic; + ADA12: in std_logic; ADA13: in std_logic; + CEA: in std_logic; CLKA: in std_logic; OCEA: in std_logic; + WEA: in std_logic; CSA0: in std_logic; CSA1: in std_logic; + CSA2: in std_logic; RSTA: in std_logic; + DIB0: in std_logic; DIB1: in std_logic; + DIB2: in std_logic; DIB3: in std_logic; + DIB4: in std_logic; DIB5: in std_logic; + DIB6: in std_logic; DIB7: in std_logic; + DIB8: in std_logic; DIB9: in std_logic; + DIB10: in std_logic; DIB11: in std_logic; + DIB12: in std_logic; DIB13: in std_logic; + DIB14: in std_logic; DIB15: in std_logic; + DIB16: in std_logic; DIB17: in std_logic; + ADB0: in std_logic; ADB1: in std_logic; + ADB2: in std_logic; ADB3: in std_logic; + ADB4: in std_logic; ADB5: in std_logic; + ADB6: in std_logic; ADB7: in std_logic; + ADB8: in std_logic; ADB9: in std_logic; + ADB10: in std_logic; ADB11: in std_logic; + ADB12: in std_logic; ADB13: in std_logic; + CEB: in std_logic; CLKB: in std_logic; OCEB: in std_logic; + WEB: in std_logic; CSB0: in std_logic; CSB1: in std_logic; + CSB2: in std_logic; RSTB: in std_logic; + DOA0: out std_logic; DOA1: out std_logic; + DOA2: out std_logic; DOA3: out std_logic; + DOA4: out std_logic; DOA5: out std_logic; + DOA6: out std_logic; DOA7: out std_logic; + DOA8: out std_logic; DOA9: out std_logic; + DOA10: out std_logic; DOA11: out std_logic; + DOA12: out std_logic; DOA13: out std_logic; + DOA14: out std_logic; DOA15: out std_logic; + DOA16: out std_logic; DOA17: out std_logic; + DOB0: out std_logic; DOB1: out std_logic; + DOB2: out std_logic; DOB3: out std_logic; + DOB4: out std_logic; DOB5: out std_logic; + DOB6: out std_logic; DOB7: out std_logic; + DOB8: out std_logic; DOB9: out std_logic; + DOB10: out std_logic; DOB11: out std_logic; + DOB12: out std_logic; DOB13: out std_logic; + DOB14: out std_logic; DOB15: out std_logic; + DOB16: out std_logic; DOB17: out std_logic); + end component; + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute RESETMODE : string; + attribute GSR : string; + attribute MEM_LPC_FILE of pdp_ram_0_0_3 : label is "fifo_2kx34x17_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_0_3 : label is ""; + attribute RESETMODE of pdp_ram_0_0_3 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_1_2 : label is "fifo_2kx34x17_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_1_2 : label is ""; + attribute RESETMODE of pdp_ram_0_1_2 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_0_1 : label is "fifo_2kx34x17_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_0_1 : label is ""; + attribute RESETMODE of pdp_ram_1_0_1 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_1_0 : label is "fifo_2kx34x17_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_1_0 : label is ""; + attribute RESETMODE of pdp_ram_1_1_0 : label is "SYNC"; + attribute GSR of FF_139 : label is "ENABLED"; + attribute GSR of FF_138 : label is "ENABLED"; + attribute GSR of FF_137 : label is "ENABLED"; + attribute GSR of FF_136 : label is "ENABLED"; + attribute GSR of FF_135 : label is "ENABLED"; + attribute GSR of FF_134 : label is "ENABLED"; + attribute GSR of FF_133 : label is "ENABLED"; + attribute GSR of FF_132 : label is "ENABLED"; + attribute GSR of FF_131 : label is "ENABLED"; + attribute GSR of FF_130 : label is "ENABLED"; + attribute GSR of FF_129 : label is "ENABLED"; + attribute GSR of FF_128 : label is "ENABLED"; + attribute GSR of FF_127 : label is "ENABLED"; + attribute GSR of FF_126 : label is "ENABLED"; + attribute GSR of FF_125 : label is "ENABLED"; + attribute GSR of FF_124 : label is "ENABLED"; + attribute GSR of FF_123 : label is "ENABLED"; + attribute GSR of FF_122 : label is "ENABLED"; + attribute GSR of FF_121 : label is "ENABLED"; + attribute GSR of FF_120 : label is "ENABLED"; + attribute GSR of FF_119 : label is "ENABLED"; + attribute GSR of FF_118 : label is "ENABLED"; + attribute GSR of FF_117 : label is "ENABLED"; + attribute GSR of FF_116 : label is "ENABLED"; + attribute GSR of FF_115 : label is "ENABLED"; + attribute GSR of FF_114 : label is "ENABLED"; + attribute GSR of FF_113 : label is "ENABLED"; + attribute GSR of FF_112 : label is "ENABLED"; + attribute GSR of FF_111 : label is "ENABLED"; + attribute GSR of FF_110 : label is "ENABLED"; + attribute GSR of FF_109 : label is "ENABLED"; + attribute GSR of FF_108 : label is "ENABLED"; + attribute GSR of FF_107 : label is "ENABLED"; + attribute GSR of FF_106 : label is "ENABLED"; + attribute GSR of FF_105 : label is "ENABLED"; + attribute GSR of FF_104 : label is "ENABLED"; + attribute GSR of FF_103 : label is "ENABLED"; + attribute GSR of FF_102 : label is "ENABLED"; + attribute GSR of FF_101 : label is "ENABLED"; + attribute GSR of FF_100 : label is "ENABLED"; + attribute GSR of FF_99 : label is "ENABLED"; + attribute GSR of FF_98 : label is "ENABLED"; + attribute GSR of FF_97 : label is "ENABLED"; + attribute GSR of FF_96 : label is "ENABLED"; + attribute GSR of FF_95 : label is "ENABLED"; + attribute GSR of FF_94 : label is "ENABLED"; + attribute GSR of FF_93 : label is "ENABLED"; + attribute GSR of FF_92 : label is "ENABLED"; + attribute GSR of FF_91 : label is "ENABLED"; + attribute GSR of FF_90 : label is "ENABLED"; + attribute GSR of FF_89 : label is "ENABLED"; + attribute GSR of FF_88 : label is "ENABLED"; + attribute GSR of FF_87 : label is "ENABLED"; + attribute GSR of FF_86 : label is "ENABLED"; + attribute GSR of FF_85 : label is "ENABLED"; + attribute GSR of FF_84 : label is "ENABLED"; + attribute GSR of FF_83 : label is "ENABLED"; + attribute GSR of FF_82 : label is "ENABLED"; + attribute GSR of FF_81 : label is "ENABLED"; + attribute GSR of FF_80 : label is "ENABLED"; + attribute GSR of FF_79 : label is "ENABLED"; + attribute GSR of FF_78 : label is "ENABLED"; + attribute GSR of FF_77 : label is "ENABLED"; + attribute GSR of FF_76 : label is "ENABLED"; + attribute GSR of FF_75 : label is "ENABLED"; + attribute GSR of FF_74 : label is "ENABLED"; + attribute GSR of FF_73 : label is "ENABLED"; + attribute GSR of FF_72 : label is "ENABLED"; + attribute GSR of FF_71 : label is "ENABLED"; + attribute GSR of FF_70 : label is "ENABLED"; + attribute GSR of FF_69 : label is "ENABLED"; + attribute GSR of FF_68 : label is "ENABLED"; + attribute GSR of FF_67 : label is "ENABLED"; + attribute GSR of FF_66 : label is "ENABLED"; + attribute GSR of FF_65 : label is "ENABLED"; + attribute GSR of FF_64 : label is "ENABLED"; + attribute GSR of FF_63 : label is "ENABLED"; + attribute GSR of FF_62 : label is "ENABLED"; + attribute GSR of FF_61 : label is "ENABLED"; + attribute GSR of FF_60 : label is "ENABLED"; + attribute GSR of FF_59 : label is "ENABLED"; + attribute GSR of FF_58 : label is "ENABLED"; + attribute GSR of FF_57 : label is "ENABLED"; + attribute GSR of FF_56 : label is "ENABLED"; + attribute GSR of FF_55 : label is "ENABLED"; + attribute GSR of FF_54 : label is "ENABLED"; + attribute GSR of FF_53 : label is "ENABLED"; + attribute GSR of FF_52 : label is "ENABLED"; + attribute GSR of FF_51 : label is "ENABLED"; + attribute GSR of FF_50 : label is "ENABLED"; + attribute GSR of FF_49 : label is "ENABLED"; + attribute GSR of FF_48 : label is "ENABLED"; + attribute GSR of FF_47 : label is "ENABLED"; + attribute GSR of FF_46 : label is "ENABLED"; + attribute GSR of FF_45 : label is "ENABLED"; + attribute GSR of FF_44 : label is "ENABLED"; + attribute GSR of FF_43 : label is "ENABLED"; + attribute GSR of FF_42 : label is "ENABLED"; + attribute GSR of FF_41 : label is "ENABLED"; + attribute GSR of FF_40 : label is "ENABLED"; + attribute GSR of FF_39 : label is "ENABLED"; + attribute GSR of FF_38 : label is "ENABLED"; + attribute GSR of FF_37 : label is "ENABLED"; + attribute GSR of FF_36 : label is "ENABLED"; + attribute GSR of FF_35 : label is "ENABLED"; + attribute GSR of FF_34 : label is "ENABLED"; + attribute GSR of FF_33 : label is "ENABLED"; + attribute GSR of FF_32 : label is "ENABLED"; + attribute GSR of FF_31 : label is "ENABLED"; + attribute GSR of FF_30 : label is "ENABLED"; + attribute GSR of FF_29 : label is "ENABLED"; + attribute GSR of FF_28 : label is "ENABLED"; + attribute GSR of FF_27 : label is "ENABLED"; + attribute GSR of FF_26 : label is "ENABLED"; + attribute GSR of FF_25 : label is "ENABLED"; + attribute GSR of FF_24 : label is "ENABLED"; + attribute GSR of FF_23 : label is "ENABLED"; + attribute GSR of FF_22 : label is "ENABLED"; + attribute GSR of FF_21 : label is "ENABLED"; + attribute GSR of FF_20 : label is "ENABLED"; + attribute GSR of FF_19 : label is "ENABLED"; + attribute GSR of FF_18 : label is "ENABLED"; + attribute GSR of FF_17 : label is "ENABLED"; + attribute GSR of FF_16 : label is "ENABLED"; + attribute GSR of FF_15 : label is "ENABLED"; + attribute GSR of FF_14 : label is "ENABLED"; + attribute GSR of FF_13 : label is "ENABLED"; + attribute GSR of FF_12 : label is "ENABLED"; + attribute GSR of FF_11 : label is "ENABLED"; + attribute GSR of FF_10 : label is "ENABLED"; + attribute GSR of FF_9 : label is "ENABLED"; + attribute GSR of FF_8 : label is "ENABLED"; + attribute GSR of FF_7 : label is "ENABLED"; + attribute GSR of FF_6 : label is "ENABLED"; + attribute GSR of FF_5 : label is "ENABLED"; + attribute GSR of FF_4 : label is "ENABLED"; + attribute GSR of FF_3 : label is "ENABLED"; + attribute GSR of FF_2 : label is "ENABLED"; + attribute GSR of FF_1 : label is "ENABLED"; + attribute GSR of FF_0 : label is "ENABLED"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of Structure : architecture is 1; + +begin + -- component instantiation statements + AND2_t26: AND2 + port map (A=>WrEn, B=>invout_1, Z=>wren_i); + + INV_1: INV + port map (A=>full_i, Z=>invout_1); + + AND2_t25: AND2 + port map (A=>RdEn, B=>invout_0, Z=>rden_i); + + INV_0: INV + port map (A=>empty_i, Z=>invout_0); + + OR2_t24: OR2 + port map (A=>Reset, B=>RPReset, Z=>rRst); + + XOR2_t23: XOR2 + port map (A=>wcount_0, B=>wcount_1, Z=>w_gdata_0); + + XOR2_t22: XOR2 + port map (A=>wcount_1, B=>wcount_2, Z=>w_gdata_1); + + XOR2_t21: XOR2 + port map (A=>wcount_2, B=>wcount_3, Z=>w_gdata_2); + + XOR2_t20: XOR2 + port map (A=>wcount_3, B=>wcount_4, Z=>w_gdata_3); + + XOR2_t19: XOR2 + port map (A=>wcount_4, B=>wcount_5, Z=>w_gdata_4); + + XOR2_t18: XOR2 + port map (A=>wcount_5, B=>wcount_6, Z=>w_gdata_5); + + XOR2_t17: XOR2 + port map (A=>wcount_6, B=>wcount_7, Z=>w_gdata_6); + + XOR2_t16: XOR2 + port map (A=>wcount_7, B=>wcount_8, Z=>w_gdata_7); + + XOR2_t15: XOR2 + port map (A=>wcount_8, B=>wcount_9, Z=>w_gdata_8); + + XOR2_t14: XOR2 + port map (A=>wcount_9, B=>wcount_10, Z=>w_gdata_9); + + XOR2_t13: XOR2 + port map (A=>wcount_10, B=>wcount_11, Z=>w_gdata_10); + + XOR2_t12: XOR2 + port map (A=>rcount_0, B=>rcount_1, Z=>r_gdata_0); + + XOR2_t11: XOR2 + port map (A=>rcount_1, B=>rcount_2, Z=>r_gdata_1); + + XOR2_t10: XOR2 + port map (A=>rcount_2, B=>rcount_3, Z=>r_gdata_2); + + XOR2_t9: XOR2 + port map (A=>rcount_3, B=>rcount_4, Z=>r_gdata_3); + + XOR2_t8: XOR2 + port map (A=>rcount_4, B=>rcount_5, Z=>r_gdata_4); + + XOR2_t7: XOR2 + port map (A=>rcount_5, B=>rcount_6, Z=>r_gdata_5); + + XOR2_t6: XOR2 + port map (A=>rcount_6, B=>rcount_7, Z=>r_gdata_6); + + XOR2_t5: XOR2 + port map (A=>rcount_7, B=>rcount_8, Z=>r_gdata_7); + + XOR2_t4: XOR2 + port map (A=>rcount_8, B=>rcount_9, Z=>r_gdata_8); + + XOR2_t3: XOR2 + port map (A=>rcount_9, B=>rcount_10, Z=>r_gdata_9); + + XOR2_t2: XOR2 + port map (A=>rcount_10, B=>rcount_11, Z=>r_gdata_10); + + XOR2_t1: XOR2 + port map (A=>rcount_11, B=>rcount_12, Z=>r_gdata_11); + + LUT4_32: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r28, AD2=>w_gcount_r29, + AD1=>w_gcount_r210, AD0=>w_gcount_r211, + DO0=>w_g2b_xor_cluster_0); + + LUT4_31: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r24, AD2=>w_gcount_r25, + AD1=>w_gcount_r26, AD0=>w_gcount_r27, + DO0=>w_g2b_xor_cluster_1); + + LUT4_30: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r20, AD2=>w_gcount_r21, + AD1=>w_gcount_r22, AD0=>w_gcount_r23, + DO0=>w_g2b_xor_cluster_2); + + LUT4_29: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r210, AD2=>w_gcount_r211, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>wcount_r10); + + LUT4_28: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r29, AD2=>w_gcount_r210, + AD1=>w_gcount_r211, AD0=>scuba_vlo, DO0=>wcount_r9); + + LUT4_27: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r27, AD2=>w_gcount_r28, + AD1=>w_gcount_r29, AD0=>wcount_r10, DO0=>wcount_r7); + + LUT4_26: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r26, AD2=>w_gcount_r27, + AD1=>w_gcount_r28, AD0=>wcount_r9, DO0=>wcount_r6); + + LUT4_25: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r25, AD2=>w_gcount_r26, + AD1=>w_gcount_r27, AD0=>w_g2b_xor_cluster_0, DO0=>wcount_r5); + + LUT4_24: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>scuba_vlo, AD0=>scuba_vlo, DO0=>wcount_r4); + + LUT4_23: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_gcount_r23, AD0=>scuba_vlo, DO0=>wcount_r3); + + LUT4_22: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_gcount_r22, AD0=>w_gcount_r23, DO0=>wcount_r2); + + LUT4_21: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r21, AD2=>w_gcount_r22, + AD1=>w_gcount_r23, AD0=>scuba_vlo, + DO0=>w_g2b_xor_cluster_2_1); + + LUT4_20: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2_1, AD0=>scuba_vlo, DO0=>wcount_r1); + + LUT4_19: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2, AD0=>scuba_vlo, DO0=>wcount_r0); + + LUT4_18: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w29, AD2=>r_gcount_w210, + AD1=>r_gcount_w211, AD0=>r_gcount_w212, + DO0=>r_g2b_xor_cluster_0); + + LUT4_17: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w25, AD2=>r_gcount_w26, + AD1=>r_gcount_w27, AD0=>r_gcount_w28, + DO0=>r_g2b_xor_cluster_1); + + LUT4_16: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w21, AD2=>r_gcount_w22, + AD1=>r_gcount_w23, AD0=>r_gcount_w24, + DO0=>r_g2b_xor_cluster_2); + + LUT4_15: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w211, AD2=>r_gcount_w212, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>rcount_w11); + + LUT4_14: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w210, AD2=>r_gcount_w211, + AD1=>r_gcount_w212, AD0=>scuba_vlo, DO0=>rcount_w10); + + LUT4_13: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w28, AD2=>r_gcount_w29, + AD1=>r_gcount_w210, AD0=>rcount_w11, DO0=>rcount_w8); + + LUT4_12: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w27, AD2=>r_gcount_w28, + AD1=>r_gcount_w29, AD0=>rcount_w10, DO0=>rcount_w7); + + LUT4_11: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w26, AD2=>r_gcount_w27, + AD1=>r_gcount_w28, AD0=>r_g2b_xor_cluster_0, DO0=>rcount_w6); + + LUT4_10: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>scuba_vlo, AD0=>scuba_vlo, DO0=>rcount_w5); + + LUT4_9: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_gcount_w24, AD0=>scuba_vlo, DO0=>rcount_w4); + + LUT4_8: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_gcount_w23, AD0=>r_gcount_w24, DO0=>rcount_w3); + + LUT4_7: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w22, AD2=>r_gcount_w23, + AD1=>r_gcount_w24, AD0=>scuba_vlo, + DO0=>r_g2b_xor_cluster_2_1); + + LUT4_6: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_g2b_xor_cluster_2_1, AD0=>scuba_vlo, DO0=>rcount_w2); + + LUT4_5: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_g2b_xor_cluster_2, AD0=>scuba_vlo, DO0=>rcount_w1); + + LUT4_4: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_g2b_xor_cluster_2, AD0=>r_gcount_w20, DO0=>rcount_w0); + + XOR2_t0: XOR2 + port map (A=>wptr_11, B=>r_gcount_w212, Z=>wfill_sub_msb); + + LUT4_3: ROM16X1A + generic map (initval=> X"0410") + port map (AD3=>rptr_12, AD2=>rcount_12, AD1=>w_gcount_r211, + AD0=>scuba_vlo, DO0=>empty_cmp_set); + + LUT4_2: ROM16X1A + generic map (initval=> X"1004") + port map (AD3=>rptr_12, AD2=>rcount_12, AD1=>w_gcount_r211, + AD0=>scuba_vlo, DO0=>empty_cmp_clr); + + LUT4_1: ROM16X1A + generic map (initval=> X"0140") + port map (AD3=>wptr_11, AD2=>wcount_11, AD1=>r_gcount_w212, + AD0=>scuba_vlo, DO0=>full_cmp_set); + + LUT4_0: ROM16X1A + generic map (initval=> X"4001") + port map (AD3=>wptr_11, AD2=>wcount_11, AD1=>r_gcount_w212, + AD0=>scuba_vlo, DO0=>full_cmp_clr); + + pdp_ram_0_0_3: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 18) + port map (DIA0=>Data(0), DIA1=>Data(1), DIA2=>Data(2), + DIA3=>Data(3), DIA4=>Data(4), DIA5=>Data(5), DIA6=>Data(6), + DIA7=>Data(7), DIA8=>Data(8), DIA9=>Data(17), + DIA10=>Data(18), DIA11=>Data(19), DIA12=>Data(20), + DIA13=>Data(21), DIA14=>Data(22), DIA15=>Data(23), + DIA16=>Data(24), DIA17=>Data(25), ADA0=>scuba_vhi, + ADA1=>scuba_vhi, ADA2=>scuba_vlo, ADA3=>scuba_vlo, + ADA4=>wptr_0, ADA5=>wptr_1, ADA6=>wptr_2, ADA7=>wptr_3, + ADA8=>wptr_4, ADA9=>wptr_5, ADA10=>wptr_6, ADA11=>wptr_7, + ADA12=>wptr_8, ADA13=>wptr_9, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_10, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>scuba_vlo, ADB2=>scuba_vlo, ADB3=>rptr_0, ADB4=>rptr_1, + ADB5=>rptr_2, ADB6=>rptr_3, ADB7=>rptr_4, ADB8=>rptr_5, + ADB9=>rptr_6, ADB10=>rptr_7, ADB11=>rptr_8, ADB12=>rptr_9, + ADB13=>rptr_10, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_11, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_0, DOB1=>mdout1_0_1, + DOB2=>mdout1_0_2, DOB3=>mdout1_0_3, DOB4=>mdout1_0_4, + DOB5=>mdout1_0_5, DOB6=>mdout1_0_6, DOB7=>mdout1_0_7, + DOB8=>mdout1_0_8, DOB9=>open, DOB10=>open, DOB11=>open, + DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, + DOB16=>open, DOB17=>open); + + pdp_ram_0_1_2: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 18) + port map (DIA0=>Data(9), DIA1=>Data(10), DIA2=>Data(11), + DIA3=>Data(12), DIA4=>Data(13), DIA5=>Data(14), + DIA6=>Data(15), DIA7=>Data(16), DIA8=>scuba_vlo, + DIA9=>Data(26), DIA10=>Data(27), DIA11=>Data(28), + DIA12=>Data(29), DIA13=>Data(30), DIA14=>Data(31), + DIA15=>Data(32), DIA16=>Data(33), DIA17=>scuba_vlo, + ADA0=>scuba_vhi, ADA1=>scuba_vhi, ADA2=>scuba_vlo, + ADA3=>scuba_vlo, ADA4=>wptr_0, ADA5=>wptr_1, ADA6=>wptr_2, + ADA7=>wptr_3, ADA8=>wptr_4, ADA9=>wptr_5, ADA10=>wptr_6, + ADA11=>wptr_7, ADA12=>wptr_8, ADA13=>wptr_9, CEA=>wren_i, + CLKA=>WrClock, OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_10, + CSA1=>scuba_vlo, CSA2=>scuba_vlo, RSTA=>Reset, + DIB0=>scuba_vlo, DIB1=>scuba_vlo, DIB2=>scuba_vlo, + DIB3=>scuba_vlo, DIB4=>scuba_vlo, DIB5=>scuba_vlo, + DIB6=>scuba_vlo, DIB7=>scuba_vlo, DIB8=>scuba_vlo, + DIB9=>scuba_vlo, DIB10=>scuba_vlo, DIB11=>scuba_vlo, + DIB12=>scuba_vlo, DIB13=>scuba_vlo, DIB14=>scuba_vlo, + DIB15=>scuba_vlo, DIB16=>scuba_vlo, DIB17=>scuba_vlo, + ADB0=>scuba_vlo, ADB1=>scuba_vlo, ADB2=>scuba_vlo, + ADB3=>rptr_0, ADB4=>rptr_1, ADB5=>rptr_2, ADB6=>rptr_3, + ADB7=>rptr_4, ADB8=>rptr_5, ADB9=>rptr_6, ADB10=>rptr_7, + ADB11=>rptr_8, ADB12=>rptr_9, ADB13=>rptr_10, CEB=>rden_i, + CLKB=>RdClock, OCEB=>rden_i, WEB=>scuba_vlo, CSB0=>rptr_11, + CSB1=>scuba_vlo, CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, + DOA1=>open, DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, + DOA6=>open, DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, + DOA11=>open, DOA12=>open, DOA13=>open, DOA14=>open, + DOA15=>open, DOA16=>open, DOA17=>open, DOB0=>mdout1_0_9, + DOB1=>mdout1_0_10, DOB2=>mdout1_0_11, DOB3=>mdout1_0_12, + DOB4=>mdout1_0_13, DOB5=>mdout1_0_14, DOB6=>mdout1_0_15, + DOB7=>mdout1_0_16, DOB8=>open, DOB9=>open, DOB10=>open, + DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open, + DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_0_1: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 18) + port map (DIA0=>Data(0), DIA1=>Data(1), DIA2=>Data(2), + DIA3=>Data(3), DIA4=>Data(4), DIA5=>Data(5), DIA6=>Data(6), + DIA7=>Data(7), DIA8=>Data(8), DIA9=>Data(17), + DIA10=>Data(18), DIA11=>Data(19), DIA12=>Data(20), + DIA13=>Data(21), DIA14=>Data(22), DIA15=>Data(23), + DIA16=>Data(24), DIA17=>Data(25), ADA0=>scuba_vhi, + ADA1=>scuba_vhi, ADA2=>scuba_vlo, ADA3=>scuba_vlo, + ADA4=>wptr_0, ADA5=>wptr_1, ADA6=>wptr_2, ADA7=>wptr_3, + ADA8=>wptr_4, ADA9=>wptr_5, ADA10=>wptr_6, ADA11=>wptr_7, + ADA12=>wptr_8, ADA13=>wptr_9, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_10, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>scuba_vlo, ADB2=>scuba_vlo, ADB3=>rptr_0, ADB4=>rptr_1, + ADB5=>rptr_2, ADB6=>rptr_3, ADB7=>rptr_4, ADB8=>rptr_5, + ADB9=>rptr_6, ADB10=>rptr_7, ADB11=>rptr_8, ADB12=>rptr_9, + ADB13=>rptr_10, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_11, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_0, DOB1=>mdout1_1_1, + DOB2=>mdout1_1_2, DOB3=>mdout1_1_3, DOB4=>mdout1_1_4, + DOB5=>mdout1_1_5, DOB6=>mdout1_1_6, DOB7=>mdout1_1_7, + DOB8=>mdout1_1_8, DOB9=>open, DOB10=>open, DOB11=>open, + DOB12=>open, DOB13=>open, DOB14=>open, DOB15=>open, + DOB16=>open, DOB17=>open); + + pdp_ram_1_1_0: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 9, + DATA_WIDTH_A=> 18) + port map (DIA0=>Data(9), DIA1=>Data(10), DIA2=>Data(11), + DIA3=>Data(12), DIA4=>Data(13), DIA5=>Data(14), + DIA6=>Data(15), DIA7=>Data(16), DIA8=>scuba_vlo, + DIA9=>Data(26), DIA10=>Data(27), DIA11=>Data(28), + DIA12=>Data(29), DIA13=>Data(30), DIA14=>Data(31), + DIA15=>Data(32), DIA16=>Data(33), DIA17=>scuba_vlo, + ADA0=>scuba_vhi, ADA1=>scuba_vhi, ADA2=>scuba_vlo, + ADA3=>scuba_vlo, ADA4=>wptr_0, ADA5=>wptr_1, ADA6=>wptr_2, + ADA7=>wptr_3, ADA8=>wptr_4, ADA9=>wptr_5, ADA10=>wptr_6, + ADA11=>wptr_7, ADA12=>wptr_8, ADA13=>wptr_9, CEA=>wren_i, + CLKA=>WrClock, OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_10, + CSA1=>scuba_vlo, CSA2=>scuba_vlo, RSTA=>Reset, + DIB0=>scuba_vlo, DIB1=>scuba_vlo, DIB2=>scuba_vlo, + DIB3=>scuba_vlo, DIB4=>scuba_vlo, DIB5=>scuba_vlo, + DIB6=>scuba_vlo, DIB7=>scuba_vlo, DIB8=>scuba_vlo, + DIB9=>scuba_vlo, DIB10=>scuba_vlo, DIB11=>scuba_vlo, + DIB12=>scuba_vlo, DIB13=>scuba_vlo, DIB14=>scuba_vlo, + DIB15=>scuba_vlo, DIB16=>scuba_vlo, DIB17=>scuba_vlo, + ADB0=>scuba_vlo, ADB1=>scuba_vlo, ADB2=>scuba_vlo, + ADB3=>rptr_0, ADB4=>rptr_1, ADB5=>rptr_2, ADB6=>rptr_3, + ADB7=>rptr_4, ADB8=>rptr_5, ADB9=>rptr_6, ADB10=>rptr_7, + ADB11=>rptr_8, ADB12=>rptr_9, ADB13=>rptr_10, CEB=>rden_i, + CLKB=>RdClock, OCEB=>rden_i, WEB=>scuba_vlo, CSB0=>rptr_11, + CSB1=>scuba_vlo, CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, + DOA1=>open, DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, + DOA6=>open, DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, + DOA11=>open, DOA12=>open, DOA13=>open, DOA14=>open, + DOA15=>open, DOA16=>open, DOA17=>open, DOB0=>mdout1_1_9, + DOB1=>mdout1_1_10, DOB2=>mdout1_1_11, DOB3=>mdout1_1_12, + DOB4=>mdout1_1_13, DOB5=>mdout1_1_14, DOB6=>mdout1_1_15, + DOB7=>mdout1_1_16, DOB8=>open, DOB9=>open, DOB10=>open, + DOB11=>open, DOB12=>open, DOB13=>open, DOB14=>open, + DOB15=>open, DOB16=>open, DOB17=>open); + + FF_139: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>WrClock, PD=>Reset, + Q=>wcount_0); + + FF_138: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_1); + + FF_137: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_2); + + FF_136: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_3); + + FF_135: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_4); + + FF_134: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_5); + + FF_133: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_6); + + FF_132: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_7); + + FF_131: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_8); + + FF_130: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_9); + + FF_129: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_10); + + FF_128: FD1P3DX + port map (D=>iwcount_11, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_11); + + FF_127: FD1P3DX + port map (D=>w_gdata_0, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_0); + + FF_126: FD1P3DX + port map (D=>w_gdata_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_1); + + FF_125: FD1P3DX + port map (D=>w_gdata_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_2); + + FF_124: FD1P3DX + port map (D=>w_gdata_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_3); + + FF_123: FD1P3DX + port map (D=>w_gdata_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_4); + + FF_122: FD1P3DX + port map (D=>w_gdata_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_5); + + FF_121: FD1P3DX + port map (D=>w_gdata_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_6); + + FF_120: FD1P3DX + port map (D=>w_gdata_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_7); + + FF_119: FD1P3DX + port map (D=>w_gdata_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_8); + + FF_118: FD1P3DX + port map (D=>w_gdata_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_9); + + FF_117: FD1P3DX + port map (D=>w_gdata_10, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_10); + + FF_116: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_11); + + FF_115: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_0); + + FF_114: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_1); + + FF_113: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_2); + + FF_112: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_3); + + FF_111: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_4); + + FF_110: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_5); + + FF_109: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_6); + + FF_108: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_7); + + FF_107: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_8); + + FF_106: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_9); + + FF_105: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_10); + + FF_104: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_11); + + FF_103: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>RdClock, PD=>rRst, + Q=>rcount_0); + + FF_102: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_1); + + FF_101: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_2); + + FF_100: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_3); + + FF_99: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_4); + + FF_98: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_5); + + FF_97: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_6); + + FF_96: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_7); + + FF_95: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_8); + + FF_94: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_9); + + FF_93: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_10); + + FF_92: FD1P3DX + port map (D=>ircount_11, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_11); + + FF_91: FD1P3DX + port map (D=>ircount_12, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_12); + + FF_90: FD1P3DX + port map (D=>r_gdata_0, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_0); + + FF_89: FD1P3DX + port map (D=>r_gdata_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_1); + + FF_88: FD1P3DX + port map (D=>r_gdata_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_2); + + FF_87: FD1P3DX + port map (D=>r_gdata_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_3); + + FF_86: FD1P3DX + port map (D=>r_gdata_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_4); + + FF_85: FD1P3DX + port map (D=>r_gdata_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_5); + + FF_84: FD1P3DX + port map (D=>r_gdata_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_6); + + FF_83: FD1P3DX + port map (D=>r_gdata_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_7); + + FF_82: FD1P3DX + port map (D=>r_gdata_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_8); + + FF_81: FD1P3DX + port map (D=>r_gdata_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_9); + + FF_80: FD1P3DX + port map (D=>r_gdata_10, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_10); + + FF_79: FD1P3DX + port map (D=>r_gdata_11, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_11); + + FF_78: FD1P3DX + port map (D=>rcount_12, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_12); + + FF_77: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_0); + + FF_76: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_1); + + FF_75: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_2); + + FF_74: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_3); + + FF_73: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_4); + + FF_72: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_5); + + FF_71: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_6); + + FF_70: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_7); + + FF_69: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_8); + + FF_68: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_9); + + FF_67: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_10); + + FF_66: FD1P3DX + port map (D=>rcount_11, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_11); + + FF_65: FD1P3DX + port map (D=>rcount_12, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_12); + + FF_64: FD1P3DX + port map (D=>rptr_11, SP=>rden_i, CK=>RdClock, CD=>scuba_vlo, + Q=>rptr_11_ff); + + FF_63: FD1S3DX + port map (D=>w_gcount_0, CK=>RdClock, CD=>Reset, Q=>w_gcount_r0); + + FF_62: FD1S3DX + port map (D=>w_gcount_1, CK=>RdClock, CD=>Reset, Q=>w_gcount_r1); + + FF_61: FD1S3DX + port map (D=>w_gcount_2, CK=>RdClock, CD=>Reset, Q=>w_gcount_r2); + + FF_60: FD1S3DX + port map (D=>w_gcount_3, CK=>RdClock, CD=>Reset, Q=>w_gcount_r3); + + FF_59: FD1S3DX + port map (D=>w_gcount_4, CK=>RdClock, CD=>Reset, Q=>w_gcount_r4); + + FF_58: FD1S3DX + port map (D=>w_gcount_5, CK=>RdClock, CD=>Reset, Q=>w_gcount_r5); + + FF_57: FD1S3DX + port map (D=>w_gcount_6, CK=>RdClock, CD=>Reset, Q=>w_gcount_r6); + + FF_56: FD1S3DX + port map (D=>w_gcount_7, CK=>RdClock, CD=>Reset, Q=>w_gcount_r7); + + FF_55: FD1S3DX + port map (D=>w_gcount_8, CK=>RdClock, CD=>Reset, Q=>w_gcount_r8); + + FF_54: FD1S3DX + port map (D=>w_gcount_9, CK=>RdClock, CD=>Reset, Q=>w_gcount_r9); + + FF_53: FD1S3DX + port map (D=>w_gcount_10, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r10); + + FF_52: FD1S3DX + port map (D=>w_gcount_11, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r11); + + FF_51: FD1S3DX + port map (D=>r_gcount_0, CK=>WrClock, CD=>rRst, Q=>r_gcount_w0); + + FF_50: FD1S3DX + port map (D=>r_gcount_1, CK=>WrClock, CD=>rRst, Q=>r_gcount_w1); + + FF_49: FD1S3DX + port map (D=>r_gcount_2, CK=>WrClock, CD=>rRst, Q=>r_gcount_w2); + + FF_48: FD1S3DX + port map (D=>r_gcount_3, CK=>WrClock, CD=>rRst, Q=>r_gcount_w3); + + FF_47: FD1S3DX + port map (D=>r_gcount_4, CK=>WrClock, CD=>rRst, Q=>r_gcount_w4); + + FF_46: FD1S3DX + port map (D=>r_gcount_5, CK=>WrClock, CD=>rRst, Q=>r_gcount_w5); + + FF_45: FD1S3DX + port map (D=>r_gcount_6, CK=>WrClock, CD=>rRst, Q=>r_gcount_w6); + + FF_44: FD1S3DX + port map (D=>r_gcount_7, CK=>WrClock, CD=>rRst, Q=>r_gcount_w7); + + FF_43: FD1S3DX + port map (D=>r_gcount_8, CK=>WrClock, CD=>rRst, Q=>r_gcount_w8); + + FF_42: FD1S3DX + port map (D=>r_gcount_9, CK=>WrClock, CD=>rRst, Q=>r_gcount_w9); + + FF_41: FD1S3DX + port map (D=>r_gcount_10, CK=>WrClock, CD=>rRst, Q=>r_gcount_w10); + + FF_40: FD1S3DX + port map (D=>r_gcount_11, CK=>WrClock, CD=>rRst, Q=>r_gcount_w11); + + FF_39: FD1S3DX + port map (D=>r_gcount_12, CK=>WrClock, CD=>rRst, Q=>r_gcount_w12); + + FF_38: FD1S3DX + port map (D=>w_gcount_r0, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r20); + + FF_37: FD1S3DX + port map (D=>w_gcount_r1, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r21); + + FF_36: FD1S3DX + port map (D=>w_gcount_r2, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r22); + + FF_35: FD1S3DX + port map (D=>w_gcount_r3, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r23); + + FF_34: FD1S3DX + port map (D=>w_gcount_r4, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r24); + + FF_33: FD1S3DX + port map (D=>w_gcount_r5, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r25); + + FF_32: FD1S3DX + port map (D=>w_gcount_r6, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r26); + + FF_31: FD1S3DX + port map (D=>w_gcount_r7, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r27); + + FF_30: FD1S3DX + port map (D=>w_gcount_r8, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r28); + + FF_29: FD1S3DX + port map (D=>w_gcount_r9, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r29); + + FF_28: FD1S3DX + port map (D=>w_gcount_r10, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r210); + + FF_27: FD1S3DX + port map (D=>w_gcount_r11, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r211); + + FF_26: FD1S3DX + port map (D=>r_gcount_w0, CK=>WrClock, CD=>rRst, Q=>r_gcount_w20); + + FF_25: FD1S3DX + port map (D=>r_gcount_w1, CK=>WrClock, CD=>rRst, Q=>r_gcount_w21); + + FF_24: FD1S3DX + port map (D=>r_gcount_w2, CK=>WrClock, CD=>rRst, Q=>r_gcount_w22); + + FF_23: FD1S3DX + port map (D=>r_gcount_w3, CK=>WrClock, CD=>rRst, Q=>r_gcount_w23); + + FF_22: FD1S3DX + port map (D=>r_gcount_w4, CK=>WrClock, CD=>rRst, Q=>r_gcount_w24); + + FF_21: FD1S3DX + port map (D=>r_gcount_w5, CK=>WrClock, CD=>rRst, Q=>r_gcount_w25); + + FF_20: FD1S3DX + port map (D=>r_gcount_w6, CK=>WrClock, CD=>rRst, Q=>r_gcount_w26); + + FF_19: FD1S3DX + port map (D=>r_gcount_w7, CK=>WrClock, CD=>rRst, Q=>r_gcount_w27); + + FF_18: FD1S3DX + port map (D=>r_gcount_w8, CK=>WrClock, CD=>rRst, Q=>r_gcount_w28); + + FF_17: FD1S3DX + port map (D=>r_gcount_w9, CK=>WrClock, CD=>rRst, Q=>r_gcount_w29); + + FF_16: FD1S3DX + port map (D=>r_gcount_w10, CK=>WrClock, CD=>rRst, + Q=>r_gcount_w210); + + FF_15: FD1S3DX + port map (D=>r_gcount_w11, CK=>WrClock, CD=>rRst, + Q=>r_gcount_w211); + + FF_14: FD1S3DX + port map (D=>r_gcount_w12, CK=>WrClock, CD=>rRst, + Q=>r_gcount_w212); + + FF_13: FD1S3DX + port map (D=>wfill_sub_0, CK=>WrClock, CD=>Reset, Q=>WCNT(0)); + + FF_12: FD1S3DX + port map (D=>wfill_sub_1, CK=>WrClock, CD=>Reset, Q=>WCNT(1)); + + FF_11: FD1S3DX + port map (D=>wfill_sub_2, CK=>WrClock, CD=>Reset, Q=>WCNT(2)); + + FF_10: FD1S3DX + port map (D=>wfill_sub_3, CK=>WrClock, CD=>Reset, Q=>WCNT(3)); + + FF_9: FD1S3DX + port map (D=>wfill_sub_4, CK=>WrClock, CD=>Reset, Q=>WCNT(4)); + + FF_8: FD1S3DX + port map (D=>wfill_sub_5, CK=>WrClock, CD=>Reset, Q=>WCNT(5)); + + FF_7: FD1S3DX + port map (D=>wfill_sub_6, CK=>WrClock, CD=>Reset, Q=>WCNT(6)); + + FF_6: FD1S3DX + port map (D=>wfill_sub_7, CK=>WrClock, CD=>Reset, Q=>WCNT(7)); + + FF_5: FD1S3DX + port map (D=>wfill_sub_8, CK=>WrClock, CD=>Reset, Q=>WCNT(8)); + + FF_4: FD1S3DX + port map (D=>wfill_sub_9, CK=>WrClock, CD=>Reset, Q=>WCNT(9)); + + FF_3: FD1S3DX + port map (D=>wfill_sub_10, CK=>WrClock, CD=>Reset, Q=>WCNT(10)); + + FF_2: FD1S3DX + port map (D=>wfill_sub_11, CK=>WrClock, CD=>Reset, Q=>WCNT(11)); + + FF_1: FD1S3BX + port map (D=>empty_d, CK=>RdClock, PD=>rRst, Q=>empty_i); + + FF_0: FD1S3DX + port map (D=>full_d, CK=>WrClock, CD=>Reset, Q=>full_i); + + w_gctr_cia: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, CI=>scuba_vlo, COUT=>w_gctr_ci, S0=>open, + S1=>open); + + w_gctr_0: CU2 + port map (CI=>w_gctr_ci, PC0=>wcount_0, PC1=>wcount_1, CO=>co0, + NC0=>iwcount_0, NC1=>iwcount_1); + + w_gctr_1: CU2 + port map (CI=>co0, PC0=>wcount_2, PC1=>wcount_3, CO=>co1, + NC0=>iwcount_2, NC1=>iwcount_3); + + w_gctr_2: CU2 + port map (CI=>co1, PC0=>wcount_4, PC1=>wcount_5, CO=>co2, + NC0=>iwcount_4, NC1=>iwcount_5); + + w_gctr_3: CU2 + port map (CI=>co2, PC0=>wcount_6, PC1=>wcount_7, CO=>co3, + NC0=>iwcount_6, NC1=>iwcount_7); + + w_gctr_4: CU2 + port map (CI=>co3, PC0=>wcount_8, PC1=>wcount_9, CO=>co4, + NC0=>iwcount_8, NC1=>iwcount_9); + + w_gctr_5: CU2 + port map (CI=>co4, PC0=>wcount_10, PC1=>wcount_11, CO=>co5, + NC0=>iwcount_10, NC1=>iwcount_11); + + r_gctr_cia: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, CI=>scuba_vlo, COUT=>r_gctr_ci, S0=>open, + S1=>open); + + r_gctr_0: CU2 + port map (CI=>r_gctr_ci, PC0=>rcount_0, PC1=>rcount_1, CO=>co0_1, + NC0=>ircount_0, NC1=>ircount_1); + + r_gctr_1: CU2 + port map (CI=>co0_1, PC0=>rcount_2, PC1=>rcount_3, CO=>co1_1, + NC0=>ircount_2, NC1=>ircount_3); + + r_gctr_2: CU2 + port map (CI=>co1_1, PC0=>rcount_4, PC1=>rcount_5, CO=>co2_1, + NC0=>ircount_4, NC1=>ircount_5); + + r_gctr_3: CU2 + port map (CI=>co2_1, PC0=>rcount_6, PC1=>rcount_7, CO=>co3_1, + NC0=>ircount_6, NC1=>ircount_7); + + r_gctr_4: CU2 + port map (CI=>co3_1, PC0=>rcount_8, PC1=>rcount_9, CO=>co4_1, + NC0=>ircount_8, NC1=>ircount_9); + + r_gctr_5: CU2 + port map (CI=>co4_1, PC0=>rcount_10, PC1=>rcount_11, CO=>co5_1, + NC0=>ircount_10, NC1=>ircount_11); + + r_gctr_6: CU2 + port map (CI=>co5_1, PC0=>rcount_12, PC1=>scuba_vlo, CO=>co6, + NC0=>ircount_12, NC1=>open); + + mux_16: MUX21 + port map (D0=>mdout1_0_0, D1=>mdout1_1_0, SD=>rptr_11_ff, + Z=>Q(0)); + + mux_15: MUX21 + port map (D0=>mdout1_0_1, D1=>mdout1_1_1, SD=>rptr_11_ff, + Z=>Q(1)); + + mux_14: MUX21 + port map (D0=>mdout1_0_2, D1=>mdout1_1_2, SD=>rptr_11_ff, + Z=>Q(2)); + + mux_13: MUX21 + port map (D0=>mdout1_0_3, D1=>mdout1_1_3, SD=>rptr_11_ff, + Z=>Q(3)); + + mux_12: MUX21 + port map (D0=>mdout1_0_4, D1=>mdout1_1_4, SD=>rptr_11_ff, + Z=>Q(4)); + + mux_11: MUX21 + port map (D0=>mdout1_0_5, D1=>mdout1_1_5, SD=>rptr_11_ff, + Z=>Q(5)); + + mux_10: MUX21 + port map (D0=>mdout1_0_6, D1=>mdout1_1_6, SD=>rptr_11_ff, + Z=>Q(6)); + + mux_9: MUX21 + port map (D0=>mdout1_0_7, D1=>mdout1_1_7, SD=>rptr_11_ff, + Z=>Q(7)); + + mux_8: MUX21 + port map (D0=>mdout1_0_8, D1=>mdout1_1_8, SD=>rptr_11_ff, + Z=>Q(8)); + + mux_7: MUX21 + port map (D0=>mdout1_0_9, D1=>mdout1_1_9, SD=>rptr_11_ff, + Z=>Q(9)); + + mux_6: MUX21 + port map (D0=>mdout1_0_10, D1=>mdout1_1_10, SD=>rptr_11_ff, + Z=>Q(10)); + + mux_5: MUX21 + port map (D0=>mdout1_0_11, D1=>mdout1_1_11, SD=>rptr_11_ff, + Z=>Q(11)); + + mux_4: MUX21 + port map (D0=>mdout1_0_12, D1=>mdout1_1_12, SD=>rptr_11_ff, + Z=>Q(12)); + + mux_3: MUX21 + port map (D0=>mdout1_0_13, D1=>mdout1_1_13, SD=>rptr_11_ff, + Z=>Q(13)); + + mux_2: MUX21 + port map (D0=>mdout1_0_14, D1=>mdout1_1_14, SD=>rptr_11_ff, + Z=>Q(14)); + + mux_1: MUX21 + port map (D0=>mdout1_0_15, D1=>mdout1_1_15, SD=>rptr_11_ff, + Z=>Q(15)); + + mux_0: MUX21 + port map (D0=>mdout1_0_16, D1=>mdout1_1_16, SD=>rptr_11_ff, + Z=>Q(16)); + + precin_inst352: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>scuba_vlo, COUT=>precin, S0=>open, + S1=>open); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + wfill_0: FSUB2B + port map (A0=>scuba_vhi, A1=>wptr_0, B0=>scuba_vlo, + B1=>rcount_w1, BI=>precin, BOUT=>co0_2, S0=>open, + S1=>wfill_sub_0); + + wfill_1: FSUB2B + port map (A0=>wptr_1, A1=>wptr_2, B0=>rcount_w2, B1=>rcount_w3, + BI=>co0_2, BOUT=>co1_2, S0=>wfill_sub_1, S1=>wfill_sub_2); + + wfill_2: FSUB2B + port map (A0=>wptr_3, A1=>wptr_4, B0=>rcount_w4, B1=>rcount_w5, + BI=>co1_2, BOUT=>co2_2, S0=>wfill_sub_3, S1=>wfill_sub_4); + + wfill_3: FSUB2B + port map (A0=>wptr_5, A1=>wptr_6, B0=>rcount_w6, B1=>rcount_w7, + BI=>co2_2, BOUT=>co3_2, S0=>wfill_sub_5, S1=>wfill_sub_6); + + wfill_4: FSUB2B + port map (A0=>wptr_7, A1=>wptr_8, B0=>rcount_w8, + B1=>r_g2b_xor_cluster_0, BI=>co3_2, BOUT=>co4_2, + S0=>wfill_sub_7, S1=>wfill_sub_8); + + wfill_5: FSUB2B + port map (A0=>wptr_9, A1=>wptr_10, B0=>rcount_w10, + B1=>rcount_w11, BI=>co4_2, BOUT=>co5_2, S0=>wfill_sub_9, + S1=>wfill_sub_10); + + wfill_6: FSUB2B + port map (A0=>wfill_sub_msb, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, BI=>co5_2, BOUT=>open, S0=>wfill_sub_11, + S1=>open); + + empty_cmp_ci_a: FADD2B + port map (A0=>scuba_vlo, A1=>rden_i, B0=>scuba_vlo, B1=>rden_i, + CI=>scuba_vlo, COUT=>cmp_ci, S0=>open, S1=>open); + + empty_cmp_0: AGEB2 + port map (A0=>rcount_0, A1=>rcount_1, B0=>scuba_vlo, + B1=>wcount_r0, CI=>cmp_ci, GE=>co0_3); + + empty_cmp_1: AGEB2 + port map (A0=>rcount_2, A1=>rcount_3, B0=>wcount_r1, + B1=>wcount_r2, CI=>co0_3, GE=>co1_3); + + empty_cmp_2: AGEB2 + port map (A0=>rcount_4, A1=>rcount_5, B0=>wcount_r3, + B1=>wcount_r4, CI=>co1_3, GE=>co2_3); + + empty_cmp_3: AGEB2 + port map (A0=>rcount_6, A1=>rcount_7, B0=>wcount_r5, + B1=>wcount_r6, CI=>co2_3, GE=>co3_3); + + empty_cmp_4: AGEB2 + port map (A0=>rcount_8, A1=>rcount_9, B0=>wcount_r7, + B1=>w_g2b_xor_cluster_0, CI=>co3_3, GE=>co4_3); + + empty_cmp_5: AGEB2 + port map (A0=>rcount_10, A1=>rcount_11, B0=>wcount_r9, + B1=>wcount_r10, CI=>co4_3, GE=>co5_3); + + empty_cmp_6: AGEB2 + port map (A0=>empty_cmp_set, A1=>scuba_vlo, B0=>empty_cmp_clr, + B1=>scuba_vlo, CI=>co5_3, GE=>empty_d_c); + + a0: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>empty_d_c, COUT=>open, S0=>empty_d, + S1=>open); + + full_cmp_ci_a: FADD2B + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + CI=>scuba_vlo, COUT=>cmp_ci_1, S0=>open, S1=>open); + + full_cmp_0: AGEB2 + port map (A0=>wcount_0, A1=>wcount_1, B0=>rcount_w1, + B1=>rcount_w2, CI=>cmp_ci_1, GE=>co0_4); + + full_cmp_1: AGEB2 + port map (A0=>wcount_2, A1=>wcount_3, B0=>rcount_w3, + B1=>rcount_w4, CI=>co0_4, GE=>co1_4); + + full_cmp_2: AGEB2 + port map (A0=>wcount_4, A1=>wcount_5, B0=>rcount_w5, + B1=>rcount_w6, CI=>co1_4, GE=>co2_4); + + full_cmp_3: AGEB2 + port map (A0=>wcount_6, A1=>wcount_7, B0=>rcount_w7, + B1=>rcount_w8, CI=>co2_4, GE=>co3_4); + + full_cmp_4: AGEB2 + port map (A0=>wcount_8, A1=>wcount_9, B0=>r_g2b_xor_cluster_0, + B1=>rcount_w10, CI=>co3_4, GE=>co4_4); + + full_cmp_5: AGEB2 + port map (A0=>wcount_10, A1=>full_cmp_set, B0=>rcount_w11, + B1=>full_cmp_clr, CI=>co4_4, GE=>full_d_c); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a1: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>full_d_c, COUT=>open, S0=>full_d, + S1=>open); + + Empty <= empty_i; + Full <= full_i; +end Structure; + +-- synopsys translate_off +library ecp3; +configuration Structure_CON of fifo_2kx34x17_wcnt is + for Structure + for all:AGEB2 use entity ecp3.AGEB2(V); end for; + for all:AND2 use entity ecp3.AND2(V); end for; + for all:CU2 use entity ecp3.CU2(V); end for; + for all:FADD2B use entity ecp3.FADD2B(V); end for; + for all:FSUB2B use entity ecp3.FSUB2B(V); end for; + for all:FD1P3BX use entity ecp3.FD1P3BX(V); end for; + for all:FD1P3DX use entity ecp3.FD1P3DX(V); end for; + for all:FD1S3BX use entity ecp3.FD1S3BX(V); end for; + for all:FD1S3DX use entity ecp3.FD1S3DX(V); end for; + for all:INV use entity ecp3.INV(V); end for; + for all:MUX21 use entity ecp3.MUX21(V); end for; + for all:OR2 use entity ecp3.OR2(V); end for; + for all:ROM16X1A use entity ecp3.ROM16X1A(V); end for; + for all:VHI use entity ecp3.VHI(V); end for; + for all:VLO use entity ecp3.VLO(V); end for; + for all:XOR2 use entity ecp3.XOR2(V); end for; + for all:DP16KC use entity ecp3.DP16KC(V); end for; + end for; +end Structure_CON; + +-- synopsys translate_on diff --git a/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.ipx b/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.ipx new file mode 100644 index 0000000..99c8298 --- /dev/null +++ b/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.ipx @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.lpc b/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.lpc new file mode 100644 index 0000000..39012ad --- /dev/null +++ b/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.lpc @@ -0,0 +1,50 @@ +[Device] +Family=latticeecp3 +PartType=LFE3-150EA +PartName=LFE3-150EA-8FN1156C +SpeedGrade=8 +Package=FPBGA1156 +OperatingCondition=COM +Status=P + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO_DC +CoreRevision=5.8 +ModuleName=fifo_4kx16x32_wcnt +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/01/2021 +Time=12:45:37 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=2048 +Width=16 +RDepth=1024 +RWidth=32 +regout=0 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=0 +PfMode=Static - Dual Threshold +PfAssert=508 +PfDeassert=506 +RDataCount=0 +WDataCount=1 +EnECC=0 + +[Command] +cmd_line= -w -n fifo_4kx16x32_wcnt -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type fifodc -addr_width 11 -data_width 16 -num_words 2048 -rdata_width 32 -no_enable -pe -1 -pf -1 -fill diff --git a/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.vhd b/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.vhd new file mode 100644 index 0000000..240fab7 --- /dev/null +++ b/src/DCA_cores/ecp3/fifo_4kx16x32_wcnt/fifo_4kx16x32_wcnt.vhd @@ -0,0 +1,1525 @@ +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.11.2.446 +-- Module Version: 5.8 +--/usr/local/diamond/3.11_x64/ispfpga/bin/lin64/scuba -w -n fifo_4kx16x32_wcnt -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type ebfifo -depth 2048 -width 16 -depth 2048 -rdata_width 32 -no_enable -pe -1 -pf -1 -fill + +-- Mon Mar 1 12:45:37 2021 + +library IEEE; +use IEEE.std_logic_1164.all; +-- synopsys translate_off +library ecp3; +use ecp3.components.all; +-- synopsys translate_on + +entity fifo_4kx16x32_wcnt is + port ( + Data: in std_logic_vector(15 downto 0); + WrClock: in std_logic; + RdClock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + RPReset: in std_logic; + Q: out std_logic_vector(31 downto 0); + WCNT: out std_logic_vector(11 downto 0); + Empty: out std_logic; + Full: out std_logic); +end fifo_4kx16x32_wcnt; + +architecture Structure of fifo_4kx16x32_wcnt is + + -- internal signal declarations + signal invout_1: std_logic; + signal invout_0: std_logic; + signal w_g2b_xor_cluster_2_1: std_logic; + signal wcount_r0: std_logic; + signal w_g2b_xor_cluster_2: std_logic; + signal w_g2b_xor_cluster_1: std_logic; + signal r_g2b_xor_cluster_2: std_logic; + signal r_g2b_xor_cluster_1: std_logic; + signal w_gdata_0: std_logic; + signal w_gdata_1: std_logic; + signal w_gdata_2: std_logic; + signal w_gdata_3: std_logic; + signal w_gdata_4: std_logic; + signal w_gdata_5: std_logic; + signal w_gdata_6: std_logic; + signal w_gdata_7: std_logic; + signal w_gdata_8: std_logic; + signal w_gdata_9: std_logic; + signal w_gdata_10: std_logic; + signal wptr_11: std_logic; + signal r_gdata_0: std_logic; + signal r_gdata_1: std_logic; + signal r_gdata_2: std_logic; + signal r_gdata_3: std_logic; + signal r_gdata_4: std_logic; + signal r_gdata_5: std_logic; + signal r_gdata_6: std_logic; + signal r_gdata_7: std_logic; + signal r_gdata_8: std_logic; + signal r_gdata_9: std_logic; + signal rptr_0: std_logic; + signal rptr_1: std_logic; + signal rptr_2: std_logic; + signal rptr_3: std_logic; + signal rptr_4: std_logic; + signal rptr_5: std_logic; + signal rptr_6: std_logic; + signal rptr_7: std_logic; + signal rptr_8: std_logic; + signal rptr_9: std_logic; + signal rptr_10: std_logic; + signal w_gcount_0: std_logic; + signal w_gcount_1: std_logic; + signal w_gcount_2: std_logic; + signal w_gcount_3: std_logic; + signal w_gcount_4: std_logic; + signal w_gcount_5: std_logic; + signal w_gcount_6: std_logic; + signal w_gcount_7: std_logic; + signal w_gcount_8: std_logic; + signal w_gcount_9: std_logic; + signal w_gcount_10: std_logic; + signal w_gcount_11: std_logic; + signal r_gcount_0: std_logic; + signal r_gcount_1: std_logic; + signal r_gcount_2: std_logic; + signal r_gcount_3: std_logic; + signal r_gcount_4: std_logic; + signal r_gcount_5: std_logic; + signal r_gcount_6: std_logic; + signal r_gcount_7: std_logic; + signal r_gcount_8: std_logic; + signal r_gcount_9: std_logic; + signal r_gcount_10: std_logic; + signal w_gcount_r20: std_logic; + signal w_gcount_r0: std_logic; + signal w_gcount_r21: std_logic; + signal w_gcount_r1: std_logic; + signal w_gcount_r22: std_logic; + signal w_gcount_r2: std_logic; + signal w_gcount_r23: std_logic; + signal w_gcount_r3: std_logic; + signal w_gcount_r24: std_logic; + signal w_gcount_r4: std_logic; + signal w_gcount_r25: std_logic; + signal w_gcount_r5: std_logic; + signal w_gcount_r26: std_logic; + signal w_gcount_r6: std_logic; + signal w_gcount_r27: std_logic; + signal w_gcount_r7: std_logic; + signal w_gcount_r28: std_logic; + signal w_gcount_r8: std_logic; + signal w_gcount_r29: std_logic; + signal w_gcount_r9: std_logic; + signal w_gcount_r210: std_logic; + signal w_gcount_r10: std_logic; + signal w_gcount_r211: std_logic; + signal w_gcount_r11: std_logic; + signal r_gcount_w20: std_logic; + signal r_gcount_w0: std_logic; + signal r_gcount_w21: std_logic; + signal r_gcount_w1: std_logic; + signal r_gcount_w22: std_logic; + signal r_gcount_w2: std_logic; + signal r_gcount_w23: std_logic; + signal r_gcount_w3: std_logic; + signal r_gcount_w24: std_logic; + signal r_gcount_w4: std_logic; + signal r_gcount_w25: std_logic; + signal r_gcount_w5: std_logic; + signal r_gcount_w26: std_logic; + signal r_gcount_w6: std_logic; + signal r_gcount_w27: std_logic; + signal r_gcount_w7: std_logic; + signal r_gcount_w28: std_logic; + signal r_gcount_w8: std_logic; + signal r_gcount_w29: std_logic; + signal r_gcount_w9: std_logic; + signal r_gcount_w210: std_logic; + signal r_gcount_w10: std_logic; + signal wptr_0: std_logic; + signal empty_i: std_logic; + signal rRst: std_logic; + signal full_i: std_logic; + signal iwcount_0: std_logic; + signal iwcount_1: std_logic; + signal w_gctr_ci: std_logic; + signal iwcount_2: std_logic; + signal iwcount_3: std_logic; + signal co0: std_logic; + signal iwcount_4: std_logic; + signal iwcount_5: std_logic; + signal co1: std_logic; + signal iwcount_6: std_logic; + signal iwcount_7: std_logic; + signal co2: std_logic; + signal iwcount_8: std_logic; + signal iwcount_9: std_logic; + signal co3: std_logic; + signal iwcount_10: std_logic; + signal iwcount_11: std_logic; + signal co5: std_logic; + signal co4: std_logic; + signal wcount_11: std_logic; + signal ircount_0: std_logic; + signal ircount_1: std_logic; + signal r_gctr_ci: std_logic; + signal ircount_2: std_logic; + signal ircount_3: std_logic; + signal co0_1: std_logic; + signal ircount_4: std_logic; + signal ircount_5: std_logic; + signal co1_1: std_logic; + signal ircount_6: std_logic; + signal ircount_7: std_logic; + signal co2_1: std_logic; + signal ircount_8: std_logic; + signal ircount_9: std_logic; + signal co3_1: std_logic; + signal ircount_10: std_logic; + signal co5_1: std_logic; + signal co4_1: std_logic; + signal rcount_10: std_logic; + signal wfill_sub_0: std_logic; + signal precin: std_logic; + signal scuba_vhi: std_logic; + signal wptr_1: std_logic; + signal wfill_sub_1: std_logic; + signal wfill_sub_2: std_logic; + signal co0_2: std_logic; + signal wptr_2: std_logic; + signal wptr_3: std_logic; + signal wfill_sub_3: std_logic; + signal wfill_sub_4: std_logic; + signal co1_2: std_logic; + signal wptr_4: std_logic; + signal wptr_5: std_logic; + signal wfill_sub_5: std_logic; + signal wfill_sub_6: std_logic; + signal co2_2: std_logic; + signal wptr_6: std_logic; + signal wptr_7: std_logic; + signal wfill_sub_7: std_logic; + signal wfill_sub_8: std_logic; + signal co3_2: std_logic; + signal wptr_8: std_logic; + signal wptr_9: std_logic; + signal wfill_sub_9: std_logic; + signal wfill_sub_10: std_logic; + signal co4_2: std_logic; + signal wptr_10: std_logic; + signal wfill_sub_msb: std_logic; + signal co5_2d: std_logic; + signal co5_2: std_logic; + signal rden_i: std_logic; + signal cmp_ci: std_logic; + signal wcount_r1: std_logic; + signal wcount_r2: std_logic; + signal rcount_0: std_logic; + signal rcount_1: std_logic; + signal co0_3: std_logic; + signal wcount_r3: std_logic; + signal wcount_r4: std_logic; + signal rcount_2: std_logic; + signal rcount_3: std_logic; + signal co1_3: std_logic; + signal wcount_r5: std_logic; + signal wcount_r6: std_logic; + signal rcount_4: std_logic; + signal rcount_5: std_logic; + signal co2_3: std_logic; + signal wcount_r7: std_logic; + signal w_g2b_xor_cluster_0: std_logic; + signal rcount_6: std_logic; + signal rcount_7: std_logic; + signal co3_3: std_logic; + signal wcount_r9: std_logic; + signal wcount_r10: std_logic; + signal rcount_8: std_logic; + signal rcount_9: std_logic; + signal co4_3: std_logic; + signal empty_cmp_clr: std_logic; + signal empty_cmp_set: std_logic; + signal empty_d: std_logic; + signal empty_d_c: std_logic; + signal wren_i: std_logic; + signal cmp_ci_1: std_logic; + signal rcount_w0: std_logic; + signal wcount_0: std_logic; + signal wcount_1: std_logic; + signal co0_4: std_logic; + signal rcount_w1: std_logic; + signal rcount_w2: std_logic; + signal wcount_2: std_logic; + signal wcount_3: std_logic; + signal co1_4: std_logic; + signal rcount_w3: std_logic; + signal rcount_w4: std_logic; + signal wcount_4: std_logic; + signal wcount_5: std_logic; + signal co2_4: std_logic; + signal rcount_w5: std_logic; + signal rcount_w6: std_logic; + signal wcount_6: std_logic; + signal wcount_7: std_logic; + signal co3_4: std_logic; + signal r_g2b_xor_cluster_0: std_logic; + signal rcount_w8: std_logic; + signal wcount_8: std_logic; + signal wcount_9: std_logic; + signal co4_4: std_logic; + signal rcount_w9: std_logic; + signal full_cmp_clr: std_logic; + signal wcount_10: std_logic; + signal full_cmp_set: std_logic; + signal full_d: std_logic; + signal full_d_c: std_logic; + signal scuba_vlo: std_logic; + + -- local component declarations + component AGEB2 + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; CI: in std_logic; GE: out std_logic); + end component; + component AND2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component CU2 + port (CI: in std_logic; PC0: in std_logic; PC1: in std_logic; + CO: out std_logic; NC0: out std_logic; NC1: out std_logic); + end component; + component FADD2B + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; CI: in std_logic; COUT: out std_logic; + S0: out std_logic; S1: out std_logic); + end component; + component FSUB2B + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; BI: in std_logic; BOUT: out std_logic; + S0: out std_logic; S1: out std_logic); + end component; + component FD1P3BX + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + PD: in std_logic; Q: out std_logic); + end component; + component FD1P3DX + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + CD: in std_logic; Q: out std_logic); + end component; + component FD1S3BX + port (D: in std_logic; CK: in std_logic; PD: in std_logic; + Q: out std_logic); + end component; + component FD1S3DX + port (D: in std_logic; CK: in std_logic; CD: in std_logic; + Q: out std_logic); + end component; + component INV + port (A: in std_logic; Z: out std_logic); + end component; + component OR2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component ROM16X1A + generic (INITVAL : in std_logic_vector(15 downto 0)); + port (AD3: in std_logic; AD2: in std_logic; AD1: in std_logic; + AD0: in std_logic; DO0: out std_logic); + end component; + component VHI + port (Z: out std_logic); + end component; + component VLO + port (Z: out std_logic); + end component; + component XOR2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component DP16KC + generic (GSR : in String; WRITEMODE_B : in String; + WRITEMODE_A : in String; CSDECODE_B : in String; + CSDECODE_A : in String; REGMODE_B : in String; + REGMODE_A : in String; DATA_WIDTH_B : in Integer; + DATA_WIDTH_A : in Integer); + port (DIA0: in std_logic; DIA1: in std_logic; + DIA2: in std_logic; DIA3: in std_logic; + DIA4: in std_logic; DIA5: in std_logic; + DIA6: in std_logic; DIA7: in std_logic; + DIA8: in std_logic; DIA9: in std_logic; + DIA10: in std_logic; DIA11: in std_logic; + DIA12: in std_logic; DIA13: in std_logic; + DIA14: in std_logic; DIA15: in std_logic; + DIA16: in std_logic; DIA17: in std_logic; + ADA0: in std_logic; ADA1: in std_logic; + ADA2: in std_logic; ADA3: in std_logic; + ADA4: in std_logic; ADA5: in std_logic; + ADA6: in std_logic; ADA7: in std_logic; + ADA8: in std_logic; ADA9: in std_logic; + ADA10: in std_logic; ADA11: in std_logic; + ADA12: in std_logic; ADA13: in std_logic; + CEA: in std_logic; CLKA: in std_logic; OCEA: in std_logic; + WEA: in std_logic; CSA0: in std_logic; CSA1: in std_logic; + CSA2: in std_logic; RSTA: in std_logic; + DIB0: in std_logic; DIB1: in std_logic; + DIB2: in std_logic; DIB3: in std_logic; + DIB4: in std_logic; DIB5: in std_logic; + DIB6: in std_logic; DIB7: in std_logic; + DIB8: in std_logic; DIB9: in std_logic; + DIB10: in std_logic; DIB11: in std_logic; + DIB12: in std_logic; DIB13: in std_logic; + DIB14: in std_logic; DIB15: in std_logic; + DIB16: in std_logic; DIB17: in std_logic; + ADB0: in std_logic; ADB1: in std_logic; + ADB2: in std_logic; ADB3: in std_logic; + ADB4: in std_logic; ADB5: in std_logic; + ADB6: in std_logic; ADB7: in std_logic; + ADB8: in std_logic; ADB9: in std_logic; + ADB10: in std_logic; ADB11: in std_logic; + ADB12: in std_logic; ADB13: in std_logic; + CEB: in std_logic; CLKB: in std_logic; OCEB: in std_logic; + WEB: in std_logic; CSB0: in std_logic; CSB1: in std_logic; + CSB2: in std_logic; RSTB: in std_logic; + DOA0: out std_logic; DOA1: out std_logic; + DOA2: out std_logic; DOA3: out std_logic; + DOA4: out std_logic; DOA5: out std_logic; + DOA6: out std_logic; DOA7: out std_logic; + DOA8: out std_logic; DOA9: out std_logic; + DOA10: out std_logic; DOA11: out std_logic; + DOA12: out std_logic; DOA13: out std_logic; + DOA14: out std_logic; DOA15: out std_logic; + DOA16: out std_logic; DOA17: out std_logic; + DOB0: out std_logic; DOB1: out std_logic; + DOB2: out std_logic; DOB3: out std_logic; + DOB4: out std_logic; DOB5: out std_logic; + DOB6: out std_logic; DOB7: out std_logic; + DOB8: out std_logic; DOB9: out std_logic; + DOB10: out std_logic; DOB11: out std_logic; + DOB12: out std_logic; DOB13: out std_logic; + DOB14: out std_logic; DOB15: out std_logic; + DOB16: out std_logic; DOB17: out std_logic); + end component; + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute RESETMODE : string; + attribute GSR : string; + attribute MEM_LPC_FILE of pdp_ram_0_0_1 : label is "fifo_4kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_0_1 : label is ""; + attribute RESETMODE of pdp_ram_0_0_1 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_1_0 : label is "fifo_4kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_1_0 : label is ""; + attribute RESETMODE of pdp_ram_0_1_0 : label is "SYNC"; + attribute GSR of FF_128 : label is "ENABLED"; + attribute GSR of FF_127 : label is "ENABLED"; + attribute GSR of FF_126 : label is "ENABLED"; + attribute GSR of FF_125 : label is "ENABLED"; + attribute GSR of FF_124 : label is "ENABLED"; + attribute GSR of FF_123 : label is "ENABLED"; + attribute GSR of FF_122 : label is "ENABLED"; + attribute GSR of FF_121 : label is "ENABLED"; + attribute GSR of FF_120 : label is "ENABLED"; + attribute GSR of FF_119 : label is "ENABLED"; + attribute GSR of FF_118 : label is "ENABLED"; + attribute GSR of FF_117 : label is "ENABLED"; + attribute GSR of FF_116 : label is "ENABLED"; + attribute GSR of FF_115 : label is "ENABLED"; + attribute GSR of FF_114 : label is "ENABLED"; + attribute GSR of FF_113 : label is "ENABLED"; + attribute GSR of FF_112 : label is "ENABLED"; + attribute GSR of FF_111 : label is "ENABLED"; + attribute GSR of FF_110 : label is "ENABLED"; + attribute GSR of FF_109 : label is "ENABLED"; + attribute GSR of FF_108 : label is "ENABLED"; + attribute GSR of FF_107 : label is "ENABLED"; + attribute GSR of FF_106 : label is "ENABLED"; + attribute GSR of FF_105 : label is "ENABLED"; + attribute GSR of FF_104 : label is "ENABLED"; + attribute GSR of FF_103 : label is "ENABLED"; + attribute GSR of FF_102 : label is "ENABLED"; + attribute GSR of FF_101 : label is "ENABLED"; + attribute GSR of FF_100 : label is "ENABLED"; + attribute GSR of FF_99 : label is "ENABLED"; + attribute GSR of FF_98 : label is "ENABLED"; + attribute GSR of FF_97 : label is "ENABLED"; + attribute GSR of FF_96 : label is "ENABLED"; + attribute GSR of FF_95 : label is "ENABLED"; + attribute GSR of FF_94 : label is "ENABLED"; + attribute GSR of FF_93 : label is "ENABLED"; + attribute GSR of FF_92 : label is "ENABLED"; + attribute GSR of FF_91 : label is "ENABLED"; + attribute GSR of FF_90 : label is "ENABLED"; + attribute GSR of FF_89 : label is "ENABLED"; + attribute GSR of FF_88 : label is "ENABLED"; + attribute GSR of FF_87 : label is "ENABLED"; + attribute GSR of FF_86 : label is "ENABLED"; + attribute GSR of FF_85 : label is "ENABLED"; + attribute GSR of FF_84 : label is "ENABLED"; + attribute GSR of FF_83 : label is "ENABLED"; + attribute GSR of FF_82 : label is "ENABLED"; + attribute GSR of FF_81 : label is "ENABLED"; + attribute GSR of FF_80 : label is "ENABLED"; + attribute GSR of FF_79 : label is "ENABLED"; + attribute GSR of FF_78 : label is "ENABLED"; + attribute GSR of FF_77 : label is "ENABLED"; + attribute GSR of FF_76 : label is "ENABLED"; + attribute GSR of FF_75 : label is "ENABLED"; + attribute GSR of FF_74 : label is "ENABLED"; + attribute GSR of FF_73 : label is "ENABLED"; + attribute GSR of FF_72 : label is "ENABLED"; + attribute GSR of FF_71 : label is "ENABLED"; + attribute GSR of FF_70 : label is "ENABLED"; + attribute GSR of FF_69 : label is "ENABLED"; + attribute GSR of FF_68 : label is "ENABLED"; + attribute GSR of FF_67 : label is "ENABLED"; + attribute GSR of FF_66 : label is "ENABLED"; + attribute GSR of FF_65 : label is "ENABLED"; + attribute GSR of FF_64 : label is "ENABLED"; + attribute GSR of FF_63 : label is "ENABLED"; + attribute GSR of FF_62 : label is "ENABLED"; + attribute GSR of FF_61 : label is "ENABLED"; + attribute GSR of FF_60 : label is "ENABLED"; + attribute GSR of FF_59 : label is "ENABLED"; + attribute GSR of FF_58 : label is "ENABLED"; + attribute GSR of FF_57 : label is "ENABLED"; + attribute GSR of FF_56 : label is "ENABLED"; + attribute GSR of FF_55 : label is "ENABLED"; + attribute GSR of FF_54 : label is "ENABLED"; + attribute GSR of FF_53 : label is "ENABLED"; + attribute GSR of FF_52 : label is "ENABLED"; + attribute GSR of FF_51 : label is "ENABLED"; + attribute GSR of FF_50 : label is "ENABLED"; + attribute GSR of FF_49 : label is "ENABLED"; + attribute GSR of FF_48 : label is "ENABLED"; + attribute GSR of FF_47 : label is "ENABLED"; + attribute GSR of FF_46 : label is "ENABLED"; + attribute GSR of FF_45 : label is "ENABLED"; + attribute GSR of FF_44 : label is "ENABLED"; + attribute GSR of FF_43 : label is "ENABLED"; + attribute GSR of FF_42 : label is "ENABLED"; + attribute GSR of FF_41 : label is "ENABLED"; + attribute GSR of FF_40 : label is "ENABLED"; + attribute GSR of FF_39 : label is "ENABLED"; + attribute GSR of FF_38 : label is "ENABLED"; + attribute GSR of FF_37 : label is "ENABLED"; + attribute GSR of FF_36 : label is "ENABLED"; + attribute GSR of FF_35 : label is "ENABLED"; + attribute GSR of FF_34 : label is "ENABLED"; + attribute GSR of FF_33 : label is "ENABLED"; + attribute GSR of FF_32 : label is "ENABLED"; + attribute GSR of FF_31 : label is "ENABLED"; + attribute GSR of FF_30 : label is "ENABLED"; + attribute GSR of FF_29 : label is "ENABLED"; + attribute GSR of FF_28 : label is "ENABLED"; + attribute GSR of FF_27 : label is "ENABLED"; + attribute GSR of FF_26 : label is "ENABLED"; + attribute GSR of FF_25 : label is "ENABLED"; + attribute GSR of FF_24 : label is "ENABLED"; + attribute GSR of FF_23 : label is "ENABLED"; + attribute GSR of FF_22 : label is "ENABLED"; + attribute GSR of FF_21 : label is "ENABLED"; + attribute GSR of FF_20 : label is "ENABLED"; + attribute GSR of FF_19 : label is "ENABLED"; + attribute GSR of FF_18 : label is "ENABLED"; + attribute GSR of FF_17 : label is "ENABLED"; + attribute GSR of FF_16 : label is "ENABLED"; + attribute GSR of FF_15 : label is "ENABLED"; + attribute GSR of FF_14 : label is "ENABLED"; + attribute GSR of FF_13 : label is "ENABLED"; + attribute GSR of FF_12 : label is "ENABLED"; + attribute GSR of FF_11 : label is "ENABLED"; + attribute GSR of FF_10 : label is "ENABLED"; + attribute GSR of FF_9 : label is "ENABLED"; + attribute GSR of FF_8 : label is "ENABLED"; + attribute GSR of FF_7 : label is "ENABLED"; + attribute GSR of FF_6 : label is "ENABLED"; + attribute GSR of FF_5 : label is "ENABLED"; + attribute GSR of FF_4 : label is "ENABLED"; + attribute GSR of FF_3 : label is "ENABLED"; + attribute GSR of FF_2 : label is "ENABLED"; + attribute GSR of FF_1 : label is "ENABLED"; + attribute GSR of FF_0 : label is "ENABLED"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of Structure : architecture is 1; + +begin + -- component instantiation statements + AND2_t24: AND2 + port map (A=>WrEn, B=>invout_1, Z=>wren_i); + + INV_1: INV + port map (A=>full_i, Z=>invout_1); + + AND2_t23: AND2 + port map (A=>RdEn, B=>invout_0, Z=>rden_i); + + INV_0: INV + port map (A=>empty_i, Z=>invout_0); + + OR2_t22: OR2 + port map (A=>Reset, B=>RPReset, Z=>rRst); + + XOR2_t21: XOR2 + port map (A=>wcount_0, B=>wcount_1, Z=>w_gdata_0); + + XOR2_t20: XOR2 + port map (A=>wcount_1, B=>wcount_2, Z=>w_gdata_1); + + XOR2_t19: XOR2 + port map (A=>wcount_2, B=>wcount_3, Z=>w_gdata_2); + + XOR2_t18: XOR2 + port map (A=>wcount_3, B=>wcount_4, Z=>w_gdata_3); + + XOR2_t17: XOR2 + port map (A=>wcount_4, B=>wcount_5, Z=>w_gdata_4); + + XOR2_t16: XOR2 + port map (A=>wcount_5, B=>wcount_6, Z=>w_gdata_5); + + XOR2_t15: XOR2 + port map (A=>wcount_6, B=>wcount_7, Z=>w_gdata_6); + + XOR2_t14: XOR2 + port map (A=>wcount_7, B=>wcount_8, Z=>w_gdata_7); + + XOR2_t13: XOR2 + port map (A=>wcount_8, B=>wcount_9, Z=>w_gdata_8); + + XOR2_t12: XOR2 + port map (A=>wcount_9, B=>wcount_10, Z=>w_gdata_9); + + XOR2_t11: XOR2 + port map (A=>wcount_10, B=>wcount_11, Z=>w_gdata_10); + + XOR2_t10: XOR2 + port map (A=>rcount_0, B=>rcount_1, Z=>r_gdata_0); + + XOR2_t9: XOR2 + port map (A=>rcount_1, B=>rcount_2, Z=>r_gdata_1); + + XOR2_t8: XOR2 + port map (A=>rcount_2, B=>rcount_3, Z=>r_gdata_2); + + XOR2_t7: XOR2 + port map (A=>rcount_3, B=>rcount_4, Z=>r_gdata_3); + + XOR2_t6: XOR2 + port map (A=>rcount_4, B=>rcount_5, Z=>r_gdata_4); + + XOR2_t5: XOR2 + port map (A=>rcount_5, B=>rcount_6, Z=>r_gdata_5); + + XOR2_t4: XOR2 + port map (A=>rcount_6, B=>rcount_7, Z=>r_gdata_6); + + XOR2_t3: XOR2 + port map (A=>rcount_7, B=>rcount_8, Z=>r_gdata_7); + + XOR2_t2: XOR2 + port map (A=>rcount_8, B=>rcount_9, Z=>r_gdata_8); + + XOR2_t1: XOR2 + port map (A=>rcount_9, B=>rcount_10, Z=>r_gdata_9); + + LUT4_29: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r28, AD2=>w_gcount_r29, + AD1=>w_gcount_r210, AD0=>w_gcount_r211, + DO0=>w_g2b_xor_cluster_0); + + LUT4_28: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r24, AD2=>w_gcount_r25, + AD1=>w_gcount_r26, AD0=>w_gcount_r27, + DO0=>w_g2b_xor_cluster_1); + + LUT4_27: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r20, AD2=>w_gcount_r21, + AD1=>w_gcount_r22, AD0=>w_gcount_r23, + DO0=>w_g2b_xor_cluster_2); + + LUT4_26: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r210, AD2=>w_gcount_r211, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>wcount_r10); + + LUT4_25: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r29, AD2=>w_gcount_r210, + AD1=>w_gcount_r211, AD0=>scuba_vlo, DO0=>wcount_r9); + + LUT4_24: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r27, AD2=>w_gcount_r28, + AD1=>w_gcount_r29, AD0=>wcount_r10, DO0=>wcount_r7); + + LUT4_23: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r26, AD2=>w_gcount_r27, + AD1=>w_gcount_r28, AD0=>wcount_r9, DO0=>wcount_r6); + + LUT4_22: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r25, AD2=>w_gcount_r26, + AD1=>w_gcount_r27, AD0=>w_g2b_xor_cluster_0, DO0=>wcount_r5); + + LUT4_21: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>scuba_vlo, AD0=>scuba_vlo, DO0=>wcount_r4); + + LUT4_20: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_gcount_r23, AD0=>scuba_vlo, DO0=>wcount_r3); + + LUT4_19: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_gcount_r22, AD0=>w_gcount_r23, DO0=>wcount_r2); + + LUT4_18: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r21, AD2=>w_gcount_r22, + AD1=>w_gcount_r23, AD0=>scuba_vlo, + DO0=>w_g2b_xor_cluster_2_1); + + LUT4_17: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2_1, AD0=>scuba_vlo, DO0=>wcount_r1); + + LUT4_16: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2, AD0=>scuba_vlo, DO0=>wcount_r0); + + LUT4_15: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w27, AD2=>r_gcount_w28, + AD1=>r_gcount_w29, AD0=>r_gcount_w210, + DO0=>r_g2b_xor_cluster_0); + + LUT4_14: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w23, AD2=>r_gcount_w24, + AD1=>r_gcount_w25, AD0=>r_gcount_w26, + DO0=>r_g2b_xor_cluster_1); + + LUT4_13: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w29, AD2=>r_gcount_w210, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>rcount_w9); + + LUT4_12: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w28, AD2=>r_gcount_w29, + AD1=>r_gcount_w210, AD0=>scuba_vlo, DO0=>rcount_w8); + + LUT4_11: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w26, AD2=>r_gcount_w27, + AD1=>r_gcount_w28, AD0=>rcount_w9, DO0=>rcount_w6); + + LUT4_10: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w25, AD2=>r_gcount_w26, + AD1=>r_gcount_w27, AD0=>rcount_w8, DO0=>rcount_w5); + + LUT4_9: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w24, AD2=>r_gcount_w25, + AD1=>r_gcount_w26, AD0=>r_g2b_xor_cluster_0, DO0=>rcount_w4); + + LUT4_8: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>scuba_vlo, AD0=>scuba_vlo, DO0=>rcount_w3); + + LUT4_7: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_gcount_w22, AD0=>scuba_vlo, DO0=>rcount_w2); + + LUT4_6: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_gcount_w21, AD0=>r_gcount_w22, DO0=>rcount_w1); + + LUT4_5: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w20, AD2=>r_gcount_w21, + AD1=>r_gcount_w22, AD0=>scuba_vlo, DO0=>r_g2b_xor_cluster_2); + + LUT4_4: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_g2b_xor_cluster_2, AD0=>scuba_vlo, DO0=>rcount_w0); + + XOR2_t0: XOR2 + port map (A=>wptr_11, B=>r_gcount_w210, Z=>wfill_sub_msb); + + LUT4_3: ROM16X1A + generic map (initval=> X"0410") + port map (AD3=>rptr_10, AD2=>rcount_10, AD1=>w_gcount_r211, + AD0=>scuba_vlo, DO0=>empty_cmp_set); + + LUT4_2: ROM16X1A + generic map (initval=> X"1004") + port map (AD3=>rptr_10, AD2=>rcount_10, AD1=>w_gcount_r211, + AD0=>scuba_vlo, DO0=>empty_cmp_clr); + + LUT4_1: ROM16X1A + generic map (initval=> X"0140") + port map (AD3=>wptr_11, AD2=>wcount_11, AD1=>r_gcount_w210, + AD0=>scuba_vlo, DO0=>full_cmp_set); + + LUT4_0: ROM16X1A + generic map (initval=> X"4001") + port map (AD3=>wptr_11, AD2=>wcount_11, AD1=>r_gcount_w210, + AD0=>scuba_vlo, DO0=>full_cmp_clr); + + pdp_ram_0_0_1: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 18, + DATA_WIDTH_A=> 9) + port map (DIA0=>Data(0), DIA1=>Data(1), DIA2=>Data(2), + DIA3=>Data(3), DIA4=>Data(4), DIA5=>Data(5), DIA6=>Data(6), + DIA7=>Data(7), DIA8=>Data(8), DIA9=>scuba_vlo, + DIA10=>scuba_vlo, DIA11=>scuba_vlo, DIA12=>scuba_vlo, + DIA13=>scuba_vlo, DIA14=>scuba_vlo, DIA15=>scuba_vlo, + DIA16=>scuba_vlo, DIA17=>scuba_vlo, ADA0=>scuba_vlo, + ADA1=>scuba_vlo, ADA2=>scuba_vlo, ADA3=>wptr_0, ADA4=>wptr_1, + ADA5=>wptr_2, ADA6=>wptr_3, ADA7=>wptr_4, ADA8=>wptr_5, + ADA9=>wptr_6, ADA10=>wptr_7, ADA11=>wptr_8, ADA12=>wptr_9, + ADA13=>wptr_10, CEA=>wren_i, CLKA=>WrClock, OCEA=>wren_i, + WEA=>scuba_vhi, CSA0=>scuba_vlo, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>scuba_vlo, ADB2=>scuba_vlo, ADB3=>scuba_vlo, + ADB4=>rptr_0, ADB5=>rptr_1, ADB6=>rptr_2, ADB7=>rptr_3, + ADB8=>rptr_4, ADB9=>rptr_5, ADB10=>rptr_6, ADB11=>rptr_7, + ADB12=>rptr_8, ADB13=>rptr_9, CEB=>rden_i, CLKB=>RdClock, + OCEB=>rden_i, WEB=>scuba_vlo, CSB0=>scuba_vlo, + CSB1=>scuba_vlo, CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, + DOA1=>open, DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, + DOA6=>open, DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, + DOA11=>open, DOA12=>open, DOA13=>open, DOA14=>open, + DOA15=>open, DOA16=>open, DOA17=>open, DOB0=>Q(0), + DOB1=>Q(1), DOB2=>Q(2), DOB3=>Q(3), DOB4=>Q(4), DOB5=>Q(5), + DOB6=>Q(6), DOB7=>Q(7), DOB8=>Q(8), DOB9=>Q(16), + DOB10=>Q(17), DOB11=>Q(18), DOB12=>Q(19), DOB13=>Q(20), + DOB14=>Q(21), DOB15=>Q(22), DOB16=>Q(23), DOB17=>Q(24)); + + pdp_ram_0_1_0: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 18, + DATA_WIDTH_A=> 9) + port map (DIA0=>Data(9), DIA1=>Data(10), DIA2=>Data(11), + DIA3=>Data(12), DIA4=>Data(13), DIA5=>Data(14), + DIA6=>Data(15), DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>scuba_vlo, + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>scuba_vlo, ADA1=>scuba_vlo, ADA2=>scuba_vlo, + ADA3=>wptr_0, ADA4=>wptr_1, ADA5=>wptr_2, ADA6=>wptr_3, + ADA7=>wptr_4, ADA8=>wptr_5, ADA9=>wptr_6, ADA10=>wptr_7, + ADA11=>wptr_8, ADA12=>wptr_9, ADA13=>wptr_10, CEA=>wren_i, + CLKA=>WrClock, OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>scuba_vlo, + CSA1=>scuba_vlo, CSA2=>scuba_vlo, RSTA=>Reset, + DIB0=>scuba_vlo, DIB1=>scuba_vlo, DIB2=>scuba_vlo, + DIB3=>scuba_vlo, DIB4=>scuba_vlo, DIB5=>scuba_vlo, + DIB6=>scuba_vlo, DIB7=>scuba_vlo, DIB8=>scuba_vlo, + DIB9=>scuba_vlo, DIB10=>scuba_vlo, DIB11=>scuba_vlo, + DIB12=>scuba_vlo, DIB13=>scuba_vlo, DIB14=>scuba_vlo, + DIB15=>scuba_vlo, DIB16=>scuba_vlo, DIB17=>scuba_vlo, + ADB0=>scuba_vlo, ADB1=>scuba_vlo, ADB2=>scuba_vlo, + ADB3=>scuba_vlo, ADB4=>rptr_0, ADB5=>rptr_1, ADB6=>rptr_2, + ADB7=>rptr_3, ADB8=>rptr_4, ADB9=>rptr_5, ADB10=>rptr_6, + ADB11=>rptr_7, ADB12=>rptr_8, ADB13=>rptr_9, CEB=>rden_i, + CLKB=>RdClock, OCEB=>rden_i, WEB=>scuba_vlo, CSB0=>scuba_vlo, + CSB1=>scuba_vlo, CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, + DOA1=>open, DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, + DOA6=>open, DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, + DOA11=>open, DOA12=>open, DOA13=>open, DOA14=>open, + DOA15=>open, DOA16=>open, DOA17=>open, DOB0=>Q(9), + DOB1=>Q(10), DOB2=>Q(11), DOB3=>Q(12), DOB4=>Q(13), + DOB5=>Q(14), DOB6=>Q(15), DOB7=>open, DOB8=>open, + DOB9=>Q(25), DOB10=>Q(26), DOB11=>Q(27), DOB12=>Q(28), + DOB13=>Q(29), DOB14=>Q(30), DOB15=>Q(31), DOB16=>open, + DOB17=>open); + + FF_128: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>WrClock, PD=>Reset, + Q=>wcount_0); + + FF_127: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_1); + + FF_126: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_2); + + FF_125: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_3); + + FF_124: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_4); + + FF_123: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_5); + + FF_122: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_6); + + FF_121: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_7); + + FF_120: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_8); + + FF_119: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_9); + + FF_118: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_10); + + FF_117: FD1P3DX + port map (D=>iwcount_11, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_11); + + FF_116: FD1P3DX + port map (D=>w_gdata_0, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_0); + + FF_115: FD1P3DX + port map (D=>w_gdata_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_1); + + FF_114: FD1P3DX + port map (D=>w_gdata_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_2); + + FF_113: FD1P3DX + port map (D=>w_gdata_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_3); + + FF_112: FD1P3DX + port map (D=>w_gdata_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_4); + + FF_111: FD1P3DX + port map (D=>w_gdata_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_5); + + FF_110: FD1P3DX + port map (D=>w_gdata_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_6); + + FF_109: FD1P3DX + port map (D=>w_gdata_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_7); + + FF_108: FD1P3DX + port map (D=>w_gdata_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_8); + + FF_107: FD1P3DX + port map (D=>w_gdata_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_9); + + FF_106: FD1P3DX + port map (D=>w_gdata_10, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_10); + + FF_105: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_11); + + FF_104: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_0); + + FF_103: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_1); + + FF_102: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_2); + + FF_101: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_3); + + FF_100: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_4); + + FF_99: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_5); + + FF_98: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_6); + + FF_97: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_7); + + FF_96: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_8); + + FF_95: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_9); + + FF_94: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_10); + + FF_93: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_11); + + FF_92: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>RdClock, PD=>rRst, + Q=>rcount_0); + + FF_91: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_1); + + FF_90: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_2); + + FF_89: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_3); + + FF_88: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_4); + + FF_87: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_5); + + FF_86: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_6); + + FF_85: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_7); + + FF_84: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_8); + + FF_83: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_9); + + FF_82: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_10); + + FF_81: FD1P3DX + port map (D=>r_gdata_0, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_0); + + FF_80: FD1P3DX + port map (D=>r_gdata_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_1); + + FF_79: FD1P3DX + port map (D=>r_gdata_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_2); + + FF_78: FD1P3DX + port map (D=>r_gdata_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_3); + + FF_77: FD1P3DX + port map (D=>r_gdata_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_4); + + FF_76: FD1P3DX + port map (D=>r_gdata_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_5); + + FF_75: FD1P3DX + port map (D=>r_gdata_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_6); + + FF_74: FD1P3DX + port map (D=>r_gdata_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_7); + + FF_73: FD1P3DX + port map (D=>r_gdata_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_8); + + FF_72: FD1P3DX + port map (D=>r_gdata_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_9); + + FF_71: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_10); + + FF_70: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_0); + + FF_69: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_1); + + FF_68: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_2); + + FF_67: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_3); + + FF_66: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_4); + + FF_65: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_5); + + FF_64: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_6); + + FF_63: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_7); + + FF_62: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_8); + + FF_61: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_9); + + FF_60: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_10); + + FF_59: FD1S3DX + port map (D=>w_gcount_0, CK=>RdClock, CD=>Reset, Q=>w_gcount_r0); + + FF_58: FD1S3DX + port map (D=>w_gcount_1, CK=>RdClock, CD=>Reset, Q=>w_gcount_r1); + + FF_57: FD1S3DX + port map (D=>w_gcount_2, CK=>RdClock, CD=>Reset, Q=>w_gcount_r2); + + FF_56: FD1S3DX + port map (D=>w_gcount_3, CK=>RdClock, CD=>Reset, Q=>w_gcount_r3); + + FF_55: FD1S3DX + port map (D=>w_gcount_4, CK=>RdClock, CD=>Reset, Q=>w_gcount_r4); + + FF_54: FD1S3DX + port map (D=>w_gcount_5, CK=>RdClock, CD=>Reset, Q=>w_gcount_r5); + + FF_53: FD1S3DX + port map (D=>w_gcount_6, CK=>RdClock, CD=>Reset, Q=>w_gcount_r6); + + FF_52: FD1S3DX + port map (D=>w_gcount_7, CK=>RdClock, CD=>Reset, Q=>w_gcount_r7); + + FF_51: FD1S3DX + port map (D=>w_gcount_8, CK=>RdClock, CD=>Reset, Q=>w_gcount_r8); + + FF_50: FD1S3DX + port map (D=>w_gcount_9, CK=>RdClock, CD=>Reset, Q=>w_gcount_r9); + + FF_49: FD1S3DX + port map (D=>w_gcount_10, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r10); + + FF_48: FD1S3DX + port map (D=>w_gcount_11, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r11); + + FF_47: FD1S3DX + port map (D=>r_gcount_0, CK=>WrClock, CD=>rRst, Q=>r_gcount_w0); + + FF_46: FD1S3DX + port map (D=>r_gcount_1, CK=>WrClock, CD=>rRst, Q=>r_gcount_w1); + + FF_45: FD1S3DX + port map (D=>r_gcount_2, CK=>WrClock, CD=>rRst, Q=>r_gcount_w2); + + FF_44: FD1S3DX + port map (D=>r_gcount_3, CK=>WrClock, CD=>rRst, Q=>r_gcount_w3); + + FF_43: FD1S3DX + port map (D=>r_gcount_4, CK=>WrClock, CD=>rRst, Q=>r_gcount_w4); + + FF_42: FD1S3DX + port map (D=>r_gcount_5, CK=>WrClock, CD=>rRst, Q=>r_gcount_w5); + + FF_41: FD1S3DX + port map (D=>r_gcount_6, CK=>WrClock, CD=>rRst, Q=>r_gcount_w6); + + FF_40: FD1S3DX + port map (D=>r_gcount_7, CK=>WrClock, CD=>rRst, Q=>r_gcount_w7); + + FF_39: FD1S3DX + port map (D=>r_gcount_8, CK=>WrClock, CD=>rRst, Q=>r_gcount_w8); + + FF_38: FD1S3DX + port map (D=>r_gcount_9, CK=>WrClock, CD=>rRst, Q=>r_gcount_w9); + + FF_37: FD1S3DX + port map (D=>r_gcount_10, CK=>WrClock, CD=>rRst, Q=>r_gcount_w10); + + FF_36: FD1S3DX + port map (D=>w_gcount_r0, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r20); + + FF_35: FD1S3DX + port map (D=>w_gcount_r1, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r21); + + FF_34: FD1S3DX + port map (D=>w_gcount_r2, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r22); + + FF_33: FD1S3DX + port map (D=>w_gcount_r3, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r23); + + FF_32: FD1S3DX + port map (D=>w_gcount_r4, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r24); + + FF_31: FD1S3DX + port map (D=>w_gcount_r5, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r25); + + FF_30: FD1S3DX + port map (D=>w_gcount_r6, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r26); + + FF_29: FD1S3DX + port map (D=>w_gcount_r7, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r27); + + FF_28: FD1S3DX + port map (D=>w_gcount_r8, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r28); + + FF_27: FD1S3DX + port map (D=>w_gcount_r9, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r29); + + FF_26: FD1S3DX + port map (D=>w_gcount_r10, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r210); + + FF_25: FD1S3DX + port map (D=>w_gcount_r11, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r211); + + FF_24: FD1S3DX + port map (D=>r_gcount_w0, CK=>WrClock, CD=>rRst, Q=>r_gcount_w20); + + FF_23: FD1S3DX + port map (D=>r_gcount_w1, CK=>WrClock, CD=>rRst, Q=>r_gcount_w21); + + FF_22: FD1S3DX + port map (D=>r_gcount_w2, CK=>WrClock, CD=>rRst, Q=>r_gcount_w22); + + FF_21: FD1S3DX + port map (D=>r_gcount_w3, CK=>WrClock, CD=>rRst, Q=>r_gcount_w23); + + FF_20: FD1S3DX + port map (D=>r_gcount_w4, CK=>WrClock, CD=>rRst, Q=>r_gcount_w24); + + FF_19: FD1S3DX + port map (D=>r_gcount_w5, CK=>WrClock, CD=>rRst, Q=>r_gcount_w25); + + FF_18: FD1S3DX + port map (D=>r_gcount_w6, CK=>WrClock, CD=>rRst, Q=>r_gcount_w26); + + FF_17: FD1S3DX + port map (D=>r_gcount_w7, CK=>WrClock, CD=>rRst, Q=>r_gcount_w27); + + FF_16: FD1S3DX + port map (D=>r_gcount_w8, CK=>WrClock, CD=>rRst, Q=>r_gcount_w28); + + FF_15: FD1S3DX + port map (D=>r_gcount_w9, CK=>WrClock, CD=>rRst, Q=>r_gcount_w29); + + FF_14: FD1S3DX + port map (D=>r_gcount_w10, CK=>WrClock, CD=>rRst, + Q=>r_gcount_w210); + + FF_13: FD1S3DX + port map (D=>wfill_sub_0, CK=>WrClock, CD=>Reset, Q=>WCNT(1)); + + FF_12: FD1S3DX + port map (D=>wfill_sub_1, CK=>WrClock, CD=>Reset, Q=>WCNT(2)); + + FF_11: FD1S3DX + port map (D=>wfill_sub_2, CK=>WrClock, CD=>Reset, Q=>WCNT(3)); + + FF_10: FD1S3DX + port map (D=>wfill_sub_3, CK=>WrClock, CD=>Reset, Q=>WCNT(4)); + + FF_9: FD1S3DX + port map (D=>wfill_sub_4, CK=>WrClock, CD=>Reset, Q=>WCNT(5)); + + FF_8: FD1S3DX + port map (D=>wfill_sub_5, CK=>WrClock, CD=>Reset, Q=>WCNT(6)); + + FF_7: FD1S3DX + port map (D=>wfill_sub_6, CK=>WrClock, CD=>Reset, Q=>WCNT(7)); + + FF_6: FD1S3DX + port map (D=>wfill_sub_7, CK=>WrClock, CD=>Reset, Q=>WCNT(8)); + + FF_5: FD1S3DX + port map (D=>wfill_sub_8, CK=>WrClock, CD=>Reset, Q=>WCNT(9)); + + FF_4: FD1S3DX + port map (D=>wfill_sub_9, CK=>WrClock, CD=>Reset, Q=>WCNT(10)); + + FF_3: FD1S3DX + port map (D=>wfill_sub_10, CK=>WrClock, CD=>Reset, Q=>WCNT(11)); + + FF_2: FD1S3DX + port map (D=>wptr_0, CK=>WrClock, CD=>Reset, Q=>WCNT(0)); + + FF_1: FD1S3BX + port map (D=>empty_d, CK=>RdClock, PD=>rRst, Q=>empty_i); + + FF_0: FD1S3DX + port map (D=>full_d, CK=>WrClock, CD=>Reset, Q=>full_i); + + w_gctr_cia: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, CI=>scuba_vlo, COUT=>w_gctr_ci, S0=>open, + S1=>open); + + w_gctr_0: CU2 + port map (CI=>w_gctr_ci, PC0=>wcount_0, PC1=>wcount_1, CO=>co0, + NC0=>iwcount_0, NC1=>iwcount_1); + + w_gctr_1: CU2 + port map (CI=>co0, PC0=>wcount_2, PC1=>wcount_3, CO=>co1, + NC0=>iwcount_2, NC1=>iwcount_3); + + w_gctr_2: CU2 + port map (CI=>co1, PC0=>wcount_4, PC1=>wcount_5, CO=>co2, + NC0=>iwcount_4, NC1=>iwcount_5); + + w_gctr_3: CU2 + port map (CI=>co2, PC0=>wcount_6, PC1=>wcount_7, CO=>co3, + NC0=>iwcount_6, NC1=>iwcount_7); + + w_gctr_4: CU2 + port map (CI=>co3, PC0=>wcount_8, PC1=>wcount_9, CO=>co4, + NC0=>iwcount_8, NC1=>iwcount_9); + + w_gctr_5: CU2 + port map (CI=>co4, PC0=>wcount_10, PC1=>wcount_11, CO=>co5, + NC0=>iwcount_10, NC1=>iwcount_11); + + r_gctr_cia: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, CI=>scuba_vlo, COUT=>r_gctr_ci, S0=>open, + S1=>open); + + r_gctr_0: CU2 + port map (CI=>r_gctr_ci, PC0=>rcount_0, PC1=>rcount_1, CO=>co0_1, + NC0=>ircount_0, NC1=>ircount_1); + + r_gctr_1: CU2 + port map (CI=>co0_1, PC0=>rcount_2, PC1=>rcount_3, CO=>co1_1, + NC0=>ircount_2, NC1=>ircount_3); + + r_gctr_2: CU2 + port map (CI=>co1_1, PC0=>rcount_4, PC1=>rcount_5, CO=>co2_1, + NC0=>ircount_4, NC1=>ircount_5); + + r_gctr_3: CU2 + port map (CI=>co2_1, PC0=>rcount_6, PC1=>rcount_7, CO=>co3_1, + NC0=>ircount_6, NC1=>ircount_7); + + r_gctr_4: CU2 + port map (CI=>co3_1, PC0=>rcount_8, PC1=>rcount_9, CO=>co4_1, + NC0=>ircount_8, NC1=>ircount_9); + + r_gctr_5: CU2 + port map (CI=>co4_1, PC0=>rcount_10, PC1=>scuba_vlo, CO=>co5_1, + NC0=>ircount_10, NC1=>open); + + precin_inst295: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>scuba_vlo, COUT=>precin, S0=>open, + S1=>open); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + wfill_0: FSUB2B + port map (A0=>scuba_vhi, A1=>wptr_1, B0=>scuba_vlo, + B1=>rcount_w0, BI=>precin, BOUT=>co0_2, S0=>open, + S1=>wfill_sub_0); + + wfill_1: FSUB2B + port map (A0=>wptr_2, A1=>wptr_3, B0=>rcount_w1, B1=>rcount_w2, + BI=>co0_2, BOUT=>co1_2, S0=>wfill_sub_1, S1=>wfill_sub_2); + + wfill_2: FSUB2B + port map (A0=>wptr_4, A1=>wptr_5, B0=>rcount_w3, B1=>rcount_w4, + BI=>co1_2, BOUT=>co2_2, S0=>wfill_sub_3, S1=>wfill_sub_4); + + wfill_3: FSUB2B + port map (A0=>wptr_6, A1=>wptr_7, B0=>rcount_w5, B1=>rcount_w6, + BI=>co2_2, BOUT=>co3_2, S0=>wfill_sub_5, S1=>wfill_sub_6); + + wfill_4: FSUB2B + port map (A0=>wptr_8, A1=>wptr_9, B0=>r_g2b_xor_cluster_0, + B1=>rcount_w8, BI=>co3_2, BOUT=>co4_2, S0=>wfill_sub_7, + S1=>wfill_sub_8); + + wfill_5: FSUB2B + port map (A0=>wptr_10, A1=>wfill_sub_msb, B0=>rcount_w9, + B1=>scuba_vlo, BI=>co4_2, BOUT=>co5_2, S0=>wfill_sub_9, + S1=>wfill_sub_10); + + wfilld: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>co5_2, COUT=>open, S0=>co5_2d, S1=>open); + + empty_cmp_ci_a: FADD2B + port map (A0=>scuba_vlo, A1=>rden_i, B0=>scuba_vlo, B1=>rden_i, + CI=>scuba_vlo, COUT=>cmp_ci, S0=>open, S1=>open); + + empty_cmp_0: AGEB2 + port map (A0=>rcount_0, A1=>rcount_1, B0=>wcount_r1, + B1=>wcount_r2, CI=>cmp_ci, GE=>co0_3); + + empty_cmp_1: AGEB2 + port map (A0=>rcount_2, A1=>rcount_3, B0=>wcount_r3, + B1=>wcount_r4, CI=>co0_3, GE=>co1_3); + + empty_cmp_2: AGEB2 + port map (A0=>rcount_4, A1=>rcount_5, B0=>wcount_r5, + B1=>wcount_r6, CI=>co1_3, GE=>co2_3); + + empty_cmp_3: AGEB2 + port map (A0=>rcount_6, A1=>rcount_7, B0=>wcount_r7, + B1=>w_g2b_xor_cluster_0, CI=>co2_3, GE=>co3_3); + + empty_cmp_4: AGEB2 + port map (A0=>rcount_8, A1=>rcount_9, B0=>wcount_r9, + B1=>wcount_r10, CI=>co3_3, GE=>co4_3); + + empty_cmp_5: AGEB2 + port map (A0=>empty_cmp_set, A1=>scuba_vlo, B0=>empty_cmp_clr, + B1=>scuba_vlo, CI=>co4_3, GE=>empty_d_c); + + a0: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>empty_d_c, COUT=>open, S0=>empty_d, + S1=>open); + + full_cmp_ci_a: FADD2B + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + CI=>scuba_vlo, COUT=>cmp_ci_1, S0=>open, S1=>open); + + full_cmp_0: AGEB2 + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>rcount_w0, CI=>cmp_ci_1, GE=>co0_4); + + full_cmp_1: AGEB2 + port map (A0=>wcount_2, A1=>wcount_3, B0=>rcount_w1, + B1=>rcount_w2, CI=>co0_4, GE=>co1_4); + + full_cmp_2: AGEB2 + port map (A0=>wcount_4, A1=>wcount_5, B0=>rcount_w3, + B1=>rcount_w4, CI=>co1_4, GE=>co2_4); + + full_cmp_3: AGEB2 + port map (A0=>wcount_6, A1=>wcount_7, B0=>rcount_w5, + B1=>rcount_w6, CI=>co2_4, GE=>co3_4); + + full_cmp_4: AGEB2 + port map (A0=>wcount_8, A1=>wcount_9, B0=>r_g2b_xor_cluster_0, + B1=>rcount_w8, CI=>co3_4, GE=>co4_4); + + full_cmp_5: AGEB2 + port map (A0=>wcount_10, A1=>full_cmp_set, B0=>rcount_w9, + B1=>full_cmp_clr, CI=>co4_4, GE=>full_d_c); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a1: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>full_d_c, COUT=>open, S0=>full_d, + S1=>open); + + Empty <= empty_i; + Full <= full_i; +end Structure; + +-- synopsys translate_off +library ecp3; +configuration Structure_CON of fifo_4kx16x32_wcnt is + for Structure + for all:AGEB2 use entity ecp3.AGEB2(V); end for; + for all:AND2 use entity ecp3.AND2(V); end for; + for all:CU2 use entity ecp3.CU2(V); end for; + for all:FADD2B use entity ecp3.FADD2B(V); end for; + for all:FSUB2B use entity ecp3.FSUB2B(V); end for; + for all:FD1P3BX use entity ecp3.FD1P3BX(V); end for; + for all:FD1P3DX use entity ecp3.FD1P3DX(V); end for; + for all:FD1S3BX use entity ecp3.FD1S3BX(V); end for; + for all:FD1S3DX use entity ecp3.FD1S3DX(V); end for; + for all:INV use entity ecp3.INV(V); end for; + for all:OR2 use entity ecp3.OR2(V); end for; + for all:ROM16X1A use entity ecp3.ROM16X1A(V); end for; + for all:VHI use entity ecp3.VHI(V); end for; + for all:VLO use entity ecp3.VLO(V); end for; + for all:XOR2 use entity ecp3.XOR2(V); end for; + for all:DP16KC use entity ecp3.DP16KC(V); end for; + end for; +end Structure_CON; + +-- synopsys translate_on diff --git a/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.ipx b/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.ipx new file mode 100644 index 0000000..62a7efb --- /dev/null +++ b/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.ipx @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.lpc b/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.lpc new file mode 100644 index 0000000..a47a056 --- /dev/null +++ b/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.lpc @@ -0,0 +1,50 @@ +[Device] +Family=latticeecp3 +PartType=LFE3-150EA +PartName=LFE3-150EA-8FN1156C +SpeedGrade=8 +Package=FPBGA1156 +OperatingCondition=COM +Status=P + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=FIFO_DC +CoreRevision=5.8 +ModuleName=fifo_64kx16x32_wcnt +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=03/01/2021 +Time=12:46:20 + +[Parameters] +Verilog=0 +VHDL=1 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +FIFOImp=EBR Based +Depth=32768 +Width=16 +RDepth=16384 +RWidth=32 +regout=0 +CtrlByRdEn=0 +EmpFlg=0 +PeMode=Static - Dual Threshold +PeAssert=10 +PeDeassert=12 +FullFlg=0 +PfMode=Static - Dual Threshold +PfAssert=508 +PfDeassert=506 +RDataCount=0 +WDataCount=1 +EnECC=0 + +[Command] +cmd_line= -w -n fifo_64kx16x32_wcnt -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type fifodc -addr_width 15 -data_width 16 -num_words 32768 -rdata_width 32 -no_enable -pe -1 -pf -1 -fill diff --git a/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.vhd b/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.vhd new file mode 100644 index 0000000..433771e --- /dev/null +++ b/src/DCA_cores/ecp3/fifo_64kx16x32_wcnt/fifo_64kx16x32_wcnt.vhd @@ -0,0 +1,3398 @@ +-- VHDL netlist generated by SCUBA Diamond (64-bit) 3.11.2.446 +-- Module Version: 5.8 +--/usr/local/diamond/3.11_x64/ispfpga/bin/lin64/scuba -w -n fifo_64kx16x32_wcnt -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5c00 -type ebfifo -depth 32768 -width 16 -depth 32768 -rdata_width 32 -no_enable -pe -1 -pf -1 -fill + +-- Mon Mar 1 12:46:20 2021 + +library IEEE; +use IEEE.std_logic_1164.all; +-- synopsys translate_off +library ecp3; +use ecp3.components.all; +-- synopsys translate_on + +entity fifo_64kx16x32_wcnt is + port ( + Data: in std_logic_vector(15 downto 0); + WrClock: in std_logic; + RdClock: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Reset: in std_logic; + RPReset: in std_logic; + Q: out std_logic_vector(31 downto 0); + WCNT: out std_logic_vector(15 downto 0); + Empty: out std_logic; + Full: out std_logic); +end fifo_64kx16x32_wcnt; + +architecture Structure of fifo_64kx16x32_wcnt is + + -- internal signal declarations + signal invout_1: std_logic; + signal invout_0: std_logic; + signal w_g2b_xor_cluster_2_1: std_logic; + signal w_g2b_xor_cluster_3_1: std_logic; + signal w_g2b_xor_cluster_3_2: std_logic; + signal wcount_r0: std_logic; + signal w_g2b_xor_cluster_3: std_logic; + signal w_g2b_xor_cluster_2: std_logic; + signal w_g2b_xor_cluster_1: std_logic; + signal r_g2b_xor_cluster_2_1: std_logic; + signal r_g2b_xor_cluster_3: std_logic; + signal r_g2b_xor_cluster_3_1: std_logic; + signal r_g2b_xor_cluster_2: std_logic; + signal r_g2b_xor_cluster_1: std_logic; + signal w_gdata_0: std_logic; + signal w_gdata_1: std_logic; + signal w_gdata_2: std_logic; + signal w_gdata_3: std_logic; + signal w_gdata_4: std_logic; + signal w_gdata_5: std_logic; + signal w_gdata_6: std_logic; + signal w_gdata_7: std_logic; + signal w_gdata_8: std_logic; + signal w_gdata_9: std_logic; + signal w_gdata_10: std_logic; + signal w_gdata_11: std_logic; + signal w_gdata_12: std_logic; + signal w_gdata_13: std_logic; + signal w_gdata_14: std_logic; + signal wptr_15: std_logic; + signal r_gdata_0: std_logic; + signal r_gdata_1: std_logic; + signal r_gdata_2: std_logic; + signal r_gdata_3: std_logic; + signal r_gdata_4: std_logic; + signal r_gdata_5: std_logic; + signal r_gdata_6: std_logic; + signal r_gdata_7: std_logic; + signal r_gdata_8: std_logic; + signal r_gdata_9: std_logic; + signal r_gdata_10: std_logic; + signal r_gdata_11: std_logic; + signal r_gdata_12: std_logic; + signal r_gdata_13: std_logic; + signal rptr_0: std_logic; + signal rptr_1: std_logic; + signal rptr_2: std_logic; + signal rptr_3: std_logic; + signal rptr_4: std_logic; + signal rptr_5: std_logic; + signal rptr_6: std_logic; + signal rptr_7: std_logic; + signal rptr_8: std_logic; + signal rptr_9: std_logic; + signal rptr_10: std_logic; + signal rptr_11: std_logic; + signal rptr_12: std_logic; + signal rptr_14: std_logic; + signal rptr_13: std_logic; + signal w_gcount_0: std_logic; + signal w_gcount_1: std_logic; + signal w_gcount_2: std_logic; + signal w_gcount_3: std_logic; + signal w_gcount_4: std_logic; + signal w_gcount_5: std_logic; + signal w_gcount_6: std_logic; + signal w_gcount_7: std_logic; + signal w_gcount_8: std_logic; + signal w_gcount_9: std_logic; + signal w_gcount_10: std_logic; + signal w_gcount_11: std_logic; + signal w_gcount_12: std_logic; + signal w_gcount_13: std_logic; + signal w_gcount_14: std_logic; + signal w_gcount_15: std_logic; + signal r_gcount_0: std_logic; + signal r_gcount_1: std_logic; + signal r_gcount_2: std_logic; + signal r_gcount_3: std_logic; + signal r_gcount_4: std_logic; + signal r_gcount_5: std_logic; + signal r_gcount_6: std_logic; + signal r_gcount_7: std_logic; + signal r_gcount_8: std_logic; + signal r_gcount_9: std_logic; + signal r_gcount_10: std_logic; + signal r_gcount_11: std_logic; + signal r_gcount_12: std_logic; + signal r_gcount_13: std_logic; + signal r_gcount_14: std_logic; + signal w_gcount_r20: std_logic; + signal w_gcount_r0: std_logic; + signal w_gcount_r21: std_logic; + signal w_gcount_r1: std_logic; + signal w_gcount_r22: std_logic; + signal w_gcount_r2: std_logic; + signal w_gcount_r23: std_logic; + signal w_gcount_r3: std_logic; + signal w_gcount_r24: std_logic; + signal w_gcount_r4: std_logic; + signal w_gcount_r25: std_logic; + signal w_gcount_r5: std_logic; + signal w_gcount_r26: std_logic; + signal w_gcount_r6: std_logic; + signal w_gcount_r27: std_logic; + signal w_gcount_r7: std_logic; + signal w_gcount_r28: std_logic; + signal w_gcount_r8: std_logic; + signal w_gcount_r29: std_logic; + signal w_gcount_r9: std_logic; + signal w_gcount_r210: std_logic; + signal w_gcount_r10: std_logic; + signal w_gcount_r211: std_logic; + signal w_gcount_r11: std_logic; + signal w_gcount_r212: std_logic; + signal w_gcount_r12: std_logic; + signal w_gcount_r213: std_logic; + signal w_gcount_r13: std_logic; + signal w_gcount_r214: std_logic; + signal w_gcount_r14: std_logic; + signal w_gcount_r215: std_logic; + signal w_gcount_r15: std_logic; + signal r_gcount_w20: std_logic; + signal r_gcount_w0: std_logic; + signal r_gcount_w21: std_logic; + signal r_gcount_w1: std_logic; + signal r_gcount_w22: std_logic; + signal r_gcount_w2: std_logic; + signal r_gcount_w23: std_logic; + signal r_gcount_w3: std_logic; + signal r_gcount_w24: std_logic; + signal r_gcount_w4: std_logic; + signal r_gcount_w25: std_logic; + signal r_gcount_w5: std_logic; + signal r_gcount_w26: std_logic; + signal r_gcount_w6: std_logic; + signal r_gcount_w27: std_logic; + signal r_gcount_w7: std_logic; + signal r_gcount_w28: std_logic; + signal r_gcount_w8: std_logic; + signal r_gcount_w29: std_logic; + signal r_gcount_w9: std_logic; + signal r_gcount_w210: std_logic; + signal r_gcount_w10: std_logic; + signal r_gcount_w211: std_logic; + signal r_gcount_w11: std_logic; + signal r_gcount_w212: std_logic; + signal r_gcount_w12: std_logic; + signal r_gcount_w213: std_logic; + signal r_gcount_w13: std_logic; + signal r_gcount_w214: std_logic; + signal r_gcount_w14: std_logic; + signal wptr_0: std_logic; + signal empty_i: std_logic; + signal rRst: std_logic; + signal full_i: std_logic; + signal iwcount_0: std_logic; + signal iwcount_1: std_logic; + signal w_gctr_ci: std_logic; + signal iwcount_2: std_logic; + signal iwcount_3: std_logic; + signal co0: std_logic; + signal iwcount_4: std_logic; + signal iwcount_5: std_logic; + signal co1: std_logic; + signal iwcount_6: std_logic; + signal iwcount_7: std_logic; + signal co2: std_logic; + signal iwcount_8: std_logic; + signal iwcount_9: std_logic; + signal co3: std_logic; + signal iwcount_10: std_logic; + signal iwcount_11: std_logic; + signal co4: std_logic; + signal iwcount_12: std_logic; + signal iwcount_13: std_logic; + signal co5: std_logic; + signal iwcount_14: std_logic; + signal iwcount_15: std_logic; + signal co7: std_logic; + signal co6: std_logic; + signal wcount_15: std_logic; + signal ircount_0: std_logic; + signal ircount_1: std_logic; + signal r_gctr_ci: std_logic; + signal ircount_2: std_logic; + signal ircount_3: std_logic; + signal co0_1: std_logic; + signal ircount_4: std_logic; + signal ircount_5: std_logic; + signal co1_1: std_logic; + signal ircount_6: std_logic; + signal ircount_7: std_logic; + signal co2_1: std_logic; + signal ircount_8: std_logic; + signal ircount_9: std_logic; + signal co3_1: std_logic; + signal ircount_10: std_logic; + signal ircount_11: std_logic; + signal co4_1: std_logic; + signal ircount_12: std_logic; + signal ircount_13: std_logic; + signal co5_1: std_logic; + signal ircount_14: std_logic; + signal co7_1: std_logic; + signal co6_1: std_logic; + signal rcount_14: std_logic; + signal mdout1_1_0: std_logic; + signal mdout1_0_0: std_logic; + signal mdout1_1_1: std_logic; + signal mdout1_0_1: std_logic; + signal mdout1_1_2: std_logic; + signal mdout1_0_2: std_logic; + signal mdout1_1_3: std_logic; + signal mdout1_0_3: std_logic; + signal mdout1_1_4: std_logic; + signal mdout1_0_4: std_logic; + signal mdout1_1_5: std_logic; + signal mdout1_0_5: std_logic; + signal mdout1_1_6: std_logic; + signal mdout1_0_6: std_logic; + signal mdout1_1_7: std_logic; + signal mdout1_0_7: std_logic; + signal mdout1_1_8: std_logic; + signal mdout1_0_8: std_logic; + signal mdout1_1_9: std_logic; + signal mdout1_0_9: std_logic; + signal mdout1_1_10: std_logic; + signal mdout1_0_10: std_logic; + signal mdout1_1_11: std_logic; + signal mdout1_0_11: std_logic; + signal mdout1_1_12: std_logic; + signal mdout1_0_12: std_logic; + signal mdout1_1_13: std_logic; + signal mdout1_0_13: std_logic; + signal mdout1_1_14: std_logic; + signal mdout1_0_14: std_logic; + signal mdout1_1_15: std_logic; + signal mdout1_0_15: std_logic; + signal mdout1_1_16: std_logic; + signal mdout1_0_16: std_logic; + signal mdout1_1_17: std_logic; + signal mdout1_0_17: std_logic; + signal mdout1_1_18: std_logic; + signal mdout1_0_18: std_logic; + signal mdout1_1_19: std_logic; + signal mdout1_0_19: std_logic; + signal mdout1_1_20: std_logic; + signal mdout1_0_20: std_logic; + signal mdout1_1_21: std_logic; + signal mdout1_0_21: std_logic; + signal mdout1_1_22: std_logic; + signal mdout1_0_22: std_logic; + signal mdout1_1_23: std_logic; + signal mdout1_0_23: std_logic; + signal mdout1_1_24: std_logic; + signal mdout1_0_24: std_logic; + signal mdout1_1_25: std_logic; + signal mdout1_0_25: std_logic; + signal mdout1_1_26: std_logic; + signal mdout1_0_26: std_logic; + signal mdout1_1_27: std_logic; + signal mdout1_0_27: std_logic; + signal mdout1_1_28: std_logic; + signal mdout1_0_28: std_logic; + signal mdout1_1_29: std_logic; + signal mdout1_0_29: std_logic; + signal mdout1_1_30: std_logic; + signal mdout1_0_30: std_logic; + signal rptr_13_ff: std_logic; + signal mdout1_1_31: std_logic; + signal mdout1_0_31: std_logic; + signal wfill_sub_0: std_logic; + signal precin: std_logic; + signal scuba_vhi: std_logic; + signal wptr_1: std_logic; + signal wfill_sub_1: std_logic; + signal wfill_sub_2: std_logic; + signal co0_2: std_logic; + signal wptr_2: std_logic; + signal wptr_3: std_logic; + signal wfill_sub_3: std_logic; + signal wfill_sub_4: std_logic; + signal co1_2: std_logic; + signal wptr_4: std_logic; + signal wptr_5: std_logic; + signal wfill_sub_5: std_logic; + signal wfill_sub_6: std_logic; + signal co2_2: std_logic; + signal wptr_6: std_logic; + signal wptr_7: std_logic; + signal wfill_sub_7: std_logic; + signal wfill_sub_8: std_logic; + signal co3_2: std_logic; + signal wptr_8: std_logic; + signal wptr_9: std_logic; + signal wfill_sub_9: std_logic; + signal wfill_sub_10: std_logic; + signal co4_2: std_logic; + signal wptr_10: std_logic; + signal wptr_11: std_logic; + signal wfill_sub_11: std_logic; + signal wfill_sub_12: std_logic; + signal co5_2: std_logic; + signal wptr_12: std_logic; + signal wptr_13: std_logic; + signal wfill_sub_13: std_logic; + signal wfill_sub_14: std_logic; + signal co6_2: std_logic; + signal wptr_14: std_logic; + signal wfill_sub_msb: std_logic; + signal co7_2d: std_logic; + signal co7_2: std_logic; + signal rden_i: std_logic; + signal cmp_ci: std_logic; + signal wcount_r1: std_logic; + signal wcount_r2: std_logic; + signal rcount_0: std_logic; + signal rcount_1: std_logic; + signal co0_3: std_logic; + signal wcount_r3: std_logic; + signal wcount_r4: std_logic; + signal rcount_2: std_logic; + signal rcount_3: std_logic; + signal co1_3: std_logic; + signal wcount_r5: std_logic; + signal wcount_r6: std_logic; + signal rcount_4: std_logic; + signal rcount_5: std_logic; + signal co2_3: std_logic; + signal wcount_r7: std_logic; + signal wcount_r8: std_logic; + signal rcount_6: std_logic; + signal rcount_7: std_logic; + signal co3_3: std_logic; + signal wcount_r9: std_logic; + signal wcount_r10: std_logic; + signal rcount_8: std_logic; + signal rcount_9: std_logic; + signal co4_3: std_logic; + signal wcount_r11: std_logic; + signal w_g2b_xor_cluster_0: std_logic; + signal rcount_10: std_logic; + signal rcount_11: std_logic; + signal co5_3: std_logic; + signal wcount_r13: std_logic; + signal wcount_r14: std_logic; + signal rcount_12: std_logic; + signal rcount_13: std_logic; + signal co6_3: std_logic; + signal empty_cmp_clr: std_logic; + signal empty_cmp_set: std_logic; + signal empty_d: std_logic; + signal empty_d_c: std_logic; + signal wren_i: std_logic; + signal cmp_ci_1: std_logic; + signal rcount_w0: std_logic; + signal wcount_0: std_logic; + signal wcount_1: std_logic; + signal co0_4: std_logic; + signal rcount_w1: std_logic; + signal rcount_w2: std_logic; + signal wcount_2: std_logic; + signal wcount_3: std_logic; + signal co1_4: std_logic; + signal rcount_w3: std_logic; + signal rcount_w4: std_logic; + signal wcount_4: std_logic; + signal wcount_5: std_logic; + signal co2_4: std_logic; + signal rcount_w5: std_logic; + signal rcount_w6: std_logic; + signal wcount_6: std_logic; + signal wcount_7: std_logic; + signal co3_4: std_logic; + signal rcount_w7: std_logic; + signal rcount_w8: std_logic; + signal wcount_8: std_logic; + signal wcount_9: std_logic; + signal co4_4: std_logic; + signal rcount_w9: std_logic; + signal rcount_w10: std_logic; + signal wcount_10: std_logic; + signal wcount_11: std_logic; + signal co5_4: std_logic; + signal r_g2b_xor_cluster_0: std_logic; + signal rcount_w12: std_logic; + signal wcount_12: std_logic; + signal wcount_13: std_logic; + signal co6_4: std_logic; + signal rcount_w13: std_logic; + signal full_cmp_clr: std_logic; + signal wcount_14: std_logic; + signal full_cmp_set: std_logic; + signal full_d: std_logic; + signal full_d_c: std_logic; + signal scuba_vlo: std_logic; + + -- local component declarations + component AGEB2 + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; CI: in std_logic; GE: out std_logic); + end component; + component AND2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component CU2 + port (CI: in std_logic; PC0: in std_logic; PC1: in std_logic; + CO: out std_logic; NC0: out std_logic; NC1: out std_logic); + end component; + component FADD2B + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; CI: in std_logic; COUT: out std_logic; + S0: out std_logic; S1: out std_logic); + end component; + component FSUB2B + port (A0: in std_logic; A1: in std_logic; B0: in std_logic; + B1: in std_logic; BI: in std_logic; BOUT: out std_logic; + S0: out std_logic; S1: out std_logic); + end component; + component FD1P3BX + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + PD: in std_logic; Q: out std_logic); + end component; + component FD1P3DX + port (D: in std_logic; SP: in std_logic; CK: in std_logic; + CD: in std_logic; Q: out std_logic); + end component; + component FD1S3BX + port (D: in std_logic; CK: in std_logic; PD: in std_logic; + Q: out std_logic); + end component; + component FD1S3DX + port (D: in std_logic; CK: in std_logic; CD: in std_logic; + Q: out std_logic); + end component; + component INV + port (A: in std_logic; Z: out std_logic); + end component; + component MUX21 + port (D0: in std_logic; D1: in std_logic; SD: in std_logic; + Z: out std_logic); + end component; + component OR2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component ROM16X1A + generic (INITVAL : in std_logic_vector(15 downto 0)); + port (AD3: in std_logic; AD2: in std_logic; AD1: in std_logic; + AD0: in std_logic; DO0: out std_logic); + end component; + component VHI + port (Z: out std_logic); + end component; + component VLO + port (Z: out std_logic); + end component; + component XOR2 + port (A: in std_logic; B: in std_logic; Z: out std_logic); + end component; + component DP16KC + generic (GSR : in String; WRITEMODE_B : in String; + WRITEMODE_A : in String; CSDECODE_B : in String; + CSDECODE_A : in String; REGMODE_B : in String; + REGMODE_A : in String; DATA_WIDTH_B : in Integer; + DATA_WIDTH_A : in Integer); + port (DIA0: in std_logic; DIA1: in std_logic; + DIA2: in std_logic; DIA3: in std_logic; + DIA4: in std_logic; DIA5: in std_logic; + DIA6: in std_logic; DIA7: in std_logic; + DIA8: in std_logic; DIA9: in std_logic; + DIA10: in std_logic; DIA11: in std_logic; + DIA12: in std_logic; DIA13: in std_logic; + DIA14: in std_logic; DIA15: in std_logic; + DIA16: in std_logic; DIA17: in std_logic; + ADA0: in std_logic; ADA1: in std_logic; + ADA2: in std_logic; ADA3: in std_logic; + ADA4: in std_logic; ADA5: in std_logic; + ADA6: in std_logic; ADA7: in std_logic; + ADA8: in std_logic; ADA9: in std_logic; + ADA10: in std_logic; ADA11: in std_logic; + ADA12: in std_logic; ADA13: in std_logic; + CEA: in std_logic; CLKA: in std_logic; OCEA: in std_logic; + WEA: in std_logic; CSA0: in std_logic; CSA1: in std_logic; + CSA2: in std_logic; RSTA: in std_logic; + DIB0: in std_logic; DIB1: in std_logic; + DIB2: in std_logic; DIB3: in std_logic; + DIB4: in std_logic; DIB5: in std_logic; + DIB6: in std_logic; DIB7: in std_logic; + DIB8: in std_logic; DIB9: in std_logic; + DIB10: in std_logic; DIB11: in std_logic; + DIB12: in std_logic; DIB13: in std_logic; + DIB14: in std_logic; DIB15: in std_logic; + DIB16: in std_logic; DIB17: in std_logic; + ADB0: in std_logic; ADB1: in std_logic; + ADB2: in std_logic; ADB3: in std_logic; + ADB4: in std_logic; ADB5: in std_logic; + ADB6: in std_logic; ADB7: in std_logic; + ADB8: in std_logic; ADB9: in std_logic; + ADB10: in std_logic; ADB11: in std_logic; + ADB12: in std_logic; ADB13: in std_logic; + CEB: in std_logic; CLKB: in std_logic; OCEB: in std_logic; + WEB: in std_logic; CSB0: in std_logic; CSB1: in std_logic; + CSB2: in std_logic; RSTB: in std_logic; + DOA0: out std_logic; DOA1: out std_logic; + DOA2: out std_logic; DOA3: out std_logic; + DOA4: out std_logic; DOA5: out std_logic; + DOA6: out std_logic; DOA7: out std_logic; + DOA8: out std_logic; DOA9: out std_logic; + DOA10: out std_logic; DOA11: out std_logic; + DOA12: out std_logic; DOA13: out std_logic; + DOA14: out std_logic; DOA15: out std_logic; + DOA16: out std_logic; DOA17: out std_logic; + DOB0: out std_logic; DOB1: out std_logic; + DOB2: out std_logic; DOB3: out std_logic; + DOB4: out std_logic; DOB5: out std_logic; + DOB6: out std_logic; DOB7: out std_logic; + DOB8: out std_logic; DOB9: out std_logic; + DOB10: out std_logic; DOB11: out std_logic; + DOB12: out std_logic; DOB13: out std_logic; + DOB14: out std_logic; DOB15: out std_logic; + DOB16: out std_logic; DOB17: out std_logic); + end component; + attribute MEM_LPC_FILE : string; + attribute MEM_INIT_FILE : string; + attribute RESETMODE : string; + attribute GSR : string; + attribute MEM_LPC_FILE of pdp_ram_0_0_31 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_0_31 : label is ""; + attribute RESETMODE of pdp_ram_0_0_31 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_1_30 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_1_30 : label is ""; + attribute RESETMODE of pdp_ram_0_1_30 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_2_29 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_2_29 : label is ""; + attribute RESETMODE of pdp_ram_0_2_29 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_3_28 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_3_28 : label is ""; + attribute RESETMODE of pdp_ram_0_3_28 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_4_27 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_4_27 : label is ""; + attribute RESETMODE of pdp_ram_0_4_27 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_5_26 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_5_26 : label is ""; + attribute RESETMODE of pdp_ram_0_5_26 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_6_25 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_6_25 : label is ""; + attribute RESETMODE of pdp_ram_0_6_25 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_7_24 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_7_24 : label is ""; + attribute RESETMODE of pdp_ram_0_7_24 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_8_23 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_8_23 : label is ""; + attribute RESETMODE of pdp_ram_0_8_23 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_9_22 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_9_22 : label is ""; + attribute RESETMODE of pdp_ram_0_9_22 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_10_21 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_10_21 : label is ""; + attribute RESETMODE of pdp_ram_0_10_21 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_11_20 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_11_20 : label is ""; + attribute RESETMODE of pdp_ram_0_11_20 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_12_19 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_12_19 : label is ""; + attribute RESETMODE of pdp_ram_0_12_19 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_13_18 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_13_18 : label is ""; + attribute RESETMODE of pdp_ram_0_13_18 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_14_17 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_14_17 : label is ""; + attribute RESETMODE of pdp_ram_0_14_17 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_0_15_16 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_0_15_16 : label is ""; + attribute RESETMODE of pdp_ram_0_15_16 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_0_15 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_0_15 : label is ""; + attribute RESETMODE of pdp_ram_1_0_15 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_1_14 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_1_14 : label is ""; + attribute RESETMODE of pdp_ram_1_1_14 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_2_13 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_2_13 : label is ""; + attribute RESETMODE of pdp_ram_1_2_13 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_3_12 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_3_12 : label is ""; + attribute RESETMODE of pdp_ram_1_3_12 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_4_11 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_4_11 : label is ""; + attribute RESETMODE of pdp_ram_1_4_11 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_5_10 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_5_10 : label is ""; + attribute RESETMODE of pdp_ram_1_5_10 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_6_9 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_6_9 : label is ""; + attribute RESETMODE of pdp_ram_1_6_9 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_7_8 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_7_8 : label is ""; + attribute RESETMODE of pdp_ram_1_7_8 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_8_7 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_8_7 : label is ""; + attribute RESETMODE of pdp_ram_1_8_7 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_9_6 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_9_6 : label is ""; + attribute RESETMODE of pdp_ram_1_9_6 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_10_5 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_10_5 : label is ""; + attribute RESETMODE of pdp_ram_1_10_5 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_11_4 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_11_4 : label is ""; + attribute RESETMODE of pdp_ram_1_11_4 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_12_3 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_12_3 : label is ""; + attribute RESETMODE of pdp_ram_1_12_3 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_13_2 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_13_2 : label is ""; + attribute RESETMODE of pdp_ram_1_13_2 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_14_1 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_14_1 : label is ""; + attribute RESETMODE of pdp_ram_1_14_1 : label is "SYNC"; + attribute MEM_LPC_FILE of pdp_ram_1_15_0 : label is "fifo_64kx16x32_wcnt.lpc"; + attribute MEM_INIT_FILE of pdp_ram_1_15_0 : label is ""; + attribute RESETMODE of pdp_ram_1_15_0 : label is "SYNC"; + attribute GSR of FF_173 : label is "ENABLED"; + attribute GSR of FF_172 : label is "ENABLED"; + attribute GSR of FF_171 : label is "ENABLED"; + attribute GSR of FF_170 : label is "ENABLED"; + attribute GSR of FF_169 : label is "ENABLED"; + attribute GSR of FF_168 : label is "ENABLED"; + attribute GSR of FF_167 : label is "ENABLED"; + attribute GSR of FF_166 : label is "ENABLED"; + attribute GSR of FF_165 : label is "ENABLED"; + attribute GSR of FF_164 : label is "ENABLED"; + attribute GSR of FF_163 : label is "ENABLED"; + attribute GSR of FF_162 : label is "ENABLED"; + attribute GSR of FF_161 : label is "ENABLED"; + attribute GSR of FF_160 : label is "ENABLED"; + attribute GSR of FF_159 : label is "ENABLED"; + attribute GSR of FF_158 : label is "ENABLED"; + attribute GSR of FF_157 : label is "ENABLED"; + attribute GSR of FF_156 : label is "ENABLED"; + attribute GSR of FF_155 : label is "ENABLED"; + attribute GSR of FF_154 : label is "ENABLED"; + attribute GSR of FF_153 : label is "ENABLED"; + attribute GSR of FF_152 : label is "ENABLED"; + attribute GSR of FF_151 : label is "ENABLED"; + attribute GSR of FF_150 : label is "ENABLED"; + attribute GSR of FF_149 : label is "ENABLED"; + attribute GSR of FF_148 : label is "ENABLED"; + attribute GSR of FF_147 : label is "ENABLED"; + attribute GSR of FF_146 : label is "ENABLED"; + attribute GSR of FF_145 : label is "ENABLED"; + attribute GSR of FF_144 : label is "ENABLED"; + attribute GSR of FF_143 : label is "ENABLED"; + attribute GSR of FF_142 : label is "ENABLED"; + attribute GSR of FF_141 : label is "ENABLED"; + attribute GSR of FF_140 : label is "ENABLED"; + attribute GSR of FF_139 : label is "ENABLED"; + attribute GSR of FF_138 : label is "ENABLED"; + attribute GSR of FF_137 : label is "ENABLED"; + attribute GSR of FF_136 : label is "ENABLED"; + attribute GSR of FF_135 : label is "ENABLED"; + attribute GSR of FF_134 : label is "ENABLED"; + attribute GSR of FF_133 : label is "ENABLED"; + attribute GSR of FF_132 : label is "ENABLED"; + attribute GSR of FF_131 : label is "ENABLED"; + attribute GSR of FF_130 : label is "ENABLED"; + attribute GSR of FF_129 : label is "ENABLED"; + attribute GSR of FF_128 : label is "ENABLED"; + attribute GSR of FF_127 : label is "ENABLED"; + attribute GSR of FF_126 : label is "ENABLED"; + attribute GSR of FF_125 : label is "ENABLED"; + attribute GSR of FF_124 : label is "ENABLED"; + attribute GSR of FF_123 : label is "ENABLED"; + attribute GSR of FF_122 : label is "ENABLED"; + attribute GSR of FF_121 : label is "ENABLED"; + attribute GSR of FF_120 : label is "ENABLED"; + attribute GSR of FF_119 : label is "ENABLED"; + attribute GSR of FF_118 : label is "ENABLED"; + attribute GSR of FF_117 : label is "ENABLED"; + attribute GSR of FF_116 : label is "ENABLED"; + attribute GSR of FF_115 : label is "ENABLED"; + attribute GSR of FF_114 : label is "ENABLED"; + attribute GSR of FF_113 : label is "ENABLED"; + attribute GSR of FF_112 : label is "ENABLED"; + attribute GSR of FF_111 : label is "ENABLED"; + attribute GSR of FF_110 : label is "ENABLED"; + attribute GSR of FF_109 : label is "ENABLED"; + attribute GSR of FF_108 : label is "ENABLED"; + attribute GSR of FF_107 : label is "ENABLED"; + attribute GSR of FF_106 : label is "ENABLED"; + attribute GSR of FF_105 : label is "ENABLED"; + attribute GSR of FF_104 : label is "ENABLED"; + attribute GSR of FF_103 : label is "ENABLED"; + attribute GSR of FF_102 : label is "ENABLED"; + attribute GSR of FF_101 : label is "ENABLED"; + attribute GSR of FF_100 : label is "ENABLED"; + attribute GSR of FF_99 : label is "ENABLED"; + attribute GSR of FF_98 : label is "ENABLED"; + attribute GSR of FF_97 : label is "ENABLED"; + attribute GSR of FF_96 : label is "ENABLED"; + attribute GSR of FF_95 : label is "ENABLED"; + attribute GSR of FF_94 : label is "ENABLED"; + attribute GSR of FF_93 : label is "ENABLED"; + attribute GSR of FF_92 : label is "ENABLED"; + attribute GSR of FF_91 : label is "ENABLED"; + attribute GSR of FF_90 : label is "ENABLED"; + attribute GSR of FF_89 : label is "ENABLED"; + attribute GSR of FF_88 : label is "ENABLED"; + attribute GSR of FF_87 : label is "ENABLED"; + attribute GSR of FF_86 : label is "ENABLED"; + attribute GSR of FF_85 : label is "ENABLED"; + attribute GSR of FF_84 : label is "ENABLED"; + attribute GSR of FF_83 : label is "ENABLED"; + attribute GSR of FF_82 : label is "ENABLED"; + attribute GSR of FF_81 : label is "ENABLED"; + attribute GSR of FF_80 : label is "ENABLED"; + attribute GSR of FF_79 : label is "ENABLED"; + attribute GSR of FF_78 : label is "ENABLED"; + attribute GSR of FF_77 : label is "ENABLED"; + attribute GSR of FF_76 : label is "ENABLED"; + attribute GSR of FF_75 : label is "ENABLED"; + attribute GSR of FF_74 : label is "ENABLED"; + attribute GSR of FF_73 : label is "ENABLED"; + attribute GSR of FF_72 : label is "ENABLED"; + attribute GSR of FF_71 : label is "ENABLED"; + attribute GSR of FF_70 : label is "ENABLED"; + attribute GSR of FF_69 : label is "ENABLED"; + attribute GSR of FF_68 : label is "ENABLED"; + attribute GSR of FF_67 : label is "ENABLED"; + attribute GSR of FF_66 : label is "ENABLED"; + attribute GSR of FF_65 : label is "ENABLED"; + attribute GSR of FF_64 : label is "ENABLED"; + attribute GSR of FF_63 : label is "ENABLED"; + attribute GSR of FF_62 : label is "ENABLED"; + attribute GSR of FF_61 : label is "ENABLED"; + attribute GSR of FF_60 : label is "ENABLED"; + attribute GSR of FF_59 : label is "ENABLED"; + attribute GSR of FF_58 : label is "ENABLED"; + attribute GSR of FF_57 : label is "ENABLED"; + attribute GSR of FF_56 : label is "ENABLED"; + attribute GSR of FF_55 : label is "ENABLED"; + attribute GSR of FF_54 : label is "ENABLED"; + attribute GSR of FF_53 : label is "ENABLED"; + attribute GSR of FF_52 : label is "ENABLED"; + attribute GSR of FF_51 : label is "ENABLED"; + attribute GSR of FF_50 : label is "ENABLED"; + attribute GSR of FF_49 : label is "ENABLED"; + attribute GSR of FF_48 : label is "ENABLED"; + attribute GSR of FF_47 : label is "ENABLED"; + attribute GSR of FF_46 : label is "ENABLED"; + attribute GSR of FF_45 : label is "ENABLED"; + attribute GSR of FF_44 : label is "ENABLED"; + attribute GSR of FF_43 : label is "ENABLED"; + attribute GSR of FF_42 : label is "ENABLED"; + attribute GSR of FF_41 : label is "ENABLED"; + attribute GSR of FF_40 : label is "ENABLED"; + attribute GSR of FF_39 : label is "ENABLED"; + attribute GSR of FF_38 : label is "ENABLED"; + attribute GSR of FF_37 : label is "ENABLED"; + attribute GSR of FF_36 : label is "ENABLED"; + attribute GSR of FF_35 : label is "ENABLED"; + attribute GSR of FF_34 : label is "ENABLED"; + attribute GSR of FF_33 : label is "ENABLED"; + attribute GSR of FF_32 : label is "ENABLED"; + attribute GSR of FF_31 : label is "ENABLED"; + attribute GSR of FF_30 : label is "ENABLED"; + attribute GSR of FF_29 : label is "ENABLED"; + attribute GSR of FF_28 : label is "ENABLED"; + attribute GSR of FF_27 : label is "ENABLED"; + attribute GSR of FF_26 : label is "ENABLED"; + attribute GSR of FF_25 : label is "ENABLED"; + attribute GSR of FF_24 : label is "ENABLED"; + attribute GSR of FF_23 : label is "ENABLED"; + attribute GSR of FF_22 : label is "ENABLED"; + attribute GSR of FF_21 : label is "ENABLED"; + attribute GSR of FF_20 : label is "ENABLED"; + attribute GSR of FF_19 : label is "ENABLED"; + attribute GSR of FF_18 : label is "ENABLED"; + attribute GSR of FF_17 : label is "ENABLED"; + attribute GSR of FF_16 : label is "ENABLED"; + attribute GSR of FF_15 : label is "ENABLED"; + attribute GSR of FF_14 : label is "ENABLED"; + attribute GSR of FF_13 : label is "ENABLED"; + attribute GSR of FF_12 : label is "ENABLED"; + attribute GSR of FF_11 : label is "ENABLED"; + attribute GSR of FF_10 : label is "ENABLED"; + attribute GSR of FF_9 : label is "ENABLED"; + attribute GSR of FF_8 : label is "ENABLED"; + attribute GSR of FF_7 : label is "ENABLED"; + attribute GSR of FF_6 : label is "ENABLED"; + attribute GSR of FF_5 : label is "ENABLED"; + attribute GSR of FF_4 : label is "ENABLED"; + attribute GSR of FF_3 : label is "ENABLED"; + attribute GSR of FF_2 : label is "ENABLED"; + attribute GSR of FF_1 : label is "ENABLED"; + attribute GSR of FF_0 : label is "ENABLED"; + attribute syn_keep : boolean; + attribute NGD_DRC_MASK : integer; + attribute NGD_DRC_MASK of Structure : architecture is 1; + +begin + -- component instantiation statements + AND2_t32: AND2 + port map (A=>WrEn, B=>invout_1, Z=>wren_i); + + INV_1: INV + port map (A=>full_i, Z=>invout_1); + + AND2_t31: AND2 + port map (A=>RdEn, B=>invout_0, Z=>rden_i); + + INV_0: INV + port map (A=>empty_i, Z=>invout_0); + + OR2_t30: OR2 + port map (A=>Reset, B=>RPReset, Z=>rRst); + + XOR2_t29: XOR2 + port map (A=>wcount_0, B=>wcount_1, Z=>w_gdata_0); + + XOR2_t28: XOR2 + port map (A=>wcount_1, B=>wcount_2, Z=>w_gdata_1); + + XOR2_t27: XOR2 + port map (A=>wcount_2, B=>wcount_3, Z=>w_gdata_2); + + XOR2_t26: XOR2 + port map (A=>wcount_3, B=>wcount_4, Z=>w_gdata_3); + + XOR2_t25: XOR2 + port map (A=>wcount_4, B=>wcount_5, Z=>w_gdata_4); + + XOR2_t24: XOR2 + port map (A=>wcount_5, B=>wcount_6, Z=>w_gdata_5); + + XOR2_t23: XOR2 + port map (A=>wcount_6, B=>wcount_7, Z=>w_gdata_6); + + XOR2_t22: XOR2 + port map (A=>wcount_7, B=>wcount_8, Z=>w_gdata_7); + + XOR2_t21: XOR2 + port map (A=>wcount_8, B=>wcount_9, Z=>w_gdata_8); + + XOR2_t20: XOR2 + port map (A=>wcount_9, B=>wcount_10, Z=>w_gdata_9); + + XOR2_t19: XOR2 + port map (A=>wcount_10, B=>wcount_11, Z=>w_gdata_10); + + XOR2_t18: XOR2 + port map (A=>wcount_11, B=>wcount_12, Z=>w_gdata_11); + + XOR2_t17: XOR2 + port map (A=>wcount_12, B=>wcount_13, Z=>w_gdata_12); + + XOR2_t16: XOR2 + port map (A=>wcount_13, B=>wcount_14, Z=>w_gdata_13); + + XOR2_t15: XOR2 + port map (A=>wcount_14, B=>wcount_15, Z=>w_gdata_14); + + XOR2_t14: XOR2 + port map (A=>rcount_0, B=>rcount_1, Z=>r_gdata_0); + + XOR2_t13: XOR2 + port map (A=>rcount_1, B=>rcount_2, Z=>r_gdata_1); + + XOR2_t12: XOR2 + port map (A=>rcount_2, B=>rcount_3, Z=>r_gdata_2); + + XOR2_t11: XOR2 + port map (A=>rcount_3, B=>rcount_4, Z=>r_gdata_3); + + XOR2_t10: XOR2 + port map (A=>rcount_4, B=>rcount_5, Z=>r_gdata_4); + + XOR2_t9: XOR2 + port map (A=>rcount_5, B=>rcount_6, Z=>r_gdata_5); + + XOR2_t8: XOR2 + port map (A=>rcount_6, B=>rcount_7, Z=>r_gdata_6); + + XOR2_t7: XOR2 + port map (A=>rcount_7, B=>rcount_8, Z=>r_gdata_7); + + XOR2_t6: XOR2 + port map (A=>rcount_8, B=>rcount_9, Z=>r_gdata_8); + + XOR2_t5: XOR2 + port map (A=>rcount_9, B=>rcount_10, Z=>r_gdata_9); + + XOR2_t4: XOR2 + port map (A=>rcount_10, B=>rcount_11, Z=>r_gdata_10); + + XOR2_t3: XOR2 + port map (A=>rcount_11, B=>rcount_12, Z=>r_gdata_11); + + XOR2_t2: XOR2 + port map (A=>rcount_12, B=>rcount_13, Z=>r_gdata_12); + + XOR2_t1: XOR2 + port map (A=>rcount_13, B=>rcount_14, Z=>r_gdata_13); + + LUT4_43: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r212, AD2=>w_gcount_r213, + AD1=>w_gcount_r214, AD0=>w_gcount_r215, + DO0=>w_g2b_xor_cluster_0); + + LUT4_42: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r28, AD2=>w_gcount_r29, + AD1=>w_gcount_r210, AD0=>w_gcount_r211, + DO0=>w_g2b_xor_cluster_1); + + LUT4_41: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r24, AD2=>w_gcount_r25, + AD1=>w_gcount_r26, AD0=>w_gcount_r27, + DO0=>w_g2b_xor_cluster_2); + + LUT4_40: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r20, AD2=>w_gcount_r21, + AD1=>w_gcount_r22, AD0=>w_gcount_r23, + DO0=>w_g2b_xor_cluster_3); + + LUT4_39: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r214, AD2=>w_gcount_r215, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>wcount_r14); + + LUT4_38: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r213, AD2=>w_gcount_r214, + AD1=>w_gcount_r215, AD0=>scuba_vlo, DO0=>wcount_r13); + + LUT4_37: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r211, AD2=>w_gcount_r212, + AD1=>w_gcount_r213, AD0=>wcount_r14, DO0=>wcount_r11); + + LUT4_36: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r210, AD2=>w_gcount_r211, + AD1=>w_gcount_r212, AD0=>wcount_r13, DO0=>wcount_r10); + + LUT4_35: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r29, AD2=>w_gcount_r210, + AD1=>w_gcount_r211, AD0=>w_g2b_xor_cluster_0, DO0=>wcount_r9); + + LUT4_34: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>scuba_vlo, AD0=>scuba_vlo, DO0=>wcount_r8); + + LUT4_33: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_gcount_r27, AD0=>scuba_vlo, DO0=>wcount_r7); + + LUT4_32: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_gcount_r26, AD0=>w_gcount_r27, DO0=>wcount_r6); + + LUT4_31: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r25, AD2=>w_gcount_r26, + AD1=>w_gcount_r27, AD0=>scuba_vlo, + DO0=>w_g2b_xor_cluster_2_1); + + LUT4_30: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2_1, AD0=>scuba_vlo, DO0=>wcount_r5); + + LUT4_29: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2, AD0=>scuba_vlo, DO0=>wcount_r4); + + LUT4_28: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2, AD0=>w_gcount_r23, DO0=>wcount_r3); + + LUT4_27: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r22, AD2=>w_gcount_r23, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>w_g2b_xor_cluster_3_1); + + LUT4_26: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2, AD0=>w_g2b_xor_cluster_3_1, + DO0=>wcount_r2); + + LUT4_25: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_gcount_r21, AD2=>w_gcount_r22, + AD1=>w_gcount_r23, AD0=>scuba_vlo, + DO0=>w_g2b_xor_cluster_3_2); + + LUT4_24: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2, AD0=>w_g2b_xor_cluster_3_2, + DO0=>wcount_r1); + + LUT4_23: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>w_g2b_xor_cluster_0, AD2=>w_g2b_xor_cluster_1, + AD1=>w_g2b_xor_cluster_2, AD0=>w_g2b_xor_cluster_3, + DO0=>wcount_r0); + + LUT4_22: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w211, AD2=>r_gcount_w212, + AD1=>r_gcount_w213, AD0=>r_gcount_w214, + DO0=>r_g2b_xor_cluster_0); + + LUT4_21: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w27, AD2=>r_gcount_w28, + AD1=>r_gcount_w29, AD0=>r_gcount_w210, + DO0=>r_g2b_xor_cluster_1); + + LUT4_20: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w23, AD2=>r_gcount_w24, + AD1=>r_gcount_w25, AD0=>r_gcount_w26, + DO0=>r_g2b_xor_cluster_2); + + LUT4_19: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w213, AD2=>r_gcount_w214, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>rcount_w13); + + LUT4_18: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w212, AD2=>r_gcount_w213, + AD1=>r_gcount_w214, AD0=>scuba_vlo, DO0=>rcount_w12); + + LUT4_17: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w210, AD2=>r_gcount_w211, + AD1=>r_gcount_w212, AD0=>rcount_w13, DO0=>rcount_w10); + + LUT4_16: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w29, AD2=>r_gcount_w210, + AD1=>r_gcount_w211, AD0=>rcount_w12, DO0=>rcount_w9); + + LUT4_15: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w28, AD2=>r_gcount_w29, + AD1=>r_gcount_w210, AD0=>r_g2b_xor_cluster_0, DO0=>rcount_w8); + + LUT4_14: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>scuba_vlo, AD0=>scuba_vlo, DO0=>rcount_w7); + + LUT4_13: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_gcount_w26, AD0=>scuba_vlo, DO0=>rcount_w6); + + LUT4_12: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_gcount_w25, AD0=>r_gcount_w26, DO0=>rcount_w5); + + LUT4_11: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w24, AD2=>r_gcount_w25, + AD1=>r_gcount_w26, AD0=>scuba_vlo, + DO0=>r_g2b_xor_cluster_2_1); + + LUT4_10: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_g2b_xor_cluster_2_1, AD0=>scuba_vlo, DO0=>rcount_w4); + + LUT4_9: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_g2b_xor_cluster_2, AD0=>scuba_vlo, DO0=>rcount_w3); + + LUT4_8: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_g2b_xor_cluster_2, AD0=>r_gcount_w22, DO0=>rcount_w2); + + LUT4_7: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w21, AD2=>r_gcount_w22, AD1=>scuba_vlo, + AD0=>scuba_vlo, DO0=>r_g2b_xor_cluster_3); + + LUT4_6: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_g2b_xor_cluster_2, AD0=>r_g2b_xor_cluster_3, + DO0=>rcount_w1); + + LUT4_5: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_gcount_w20, AD2=>r_gcount_w21, + AD1=>r_gcount_w22, AD0=>scuba_vlo, + DO0=>r_g2b_xor_cluster_3_1); + + LUT4_4: ROM16X1A + generic map (initval=> X"6996") + port map (AD3=>r_g2b_xor_cluster_0, AD2=>r_g2b_xor_cluster_1, + AD1=>r_g2b_xor_cluster_2, AD0=>r_g2b_xor_cluster_3_1, + DO0=>rcount_w0); + + XOR2_t0: XOR2 + port map (A=>wptr_15, B=>r_gcount_w214, Z=>wfill_sub_msb); + + LUT4_3: ROM16X1A + generic map (initval=> X"0410") + port map (AD3=>rptr_14, AD2=>rcount_14, AD1=>w_gcount_r215, + AD0=>scuba_vlo, DO0=>empty_cmp_set); + + LUT4_2: ROM16X1A + generic map (initval=> X"1004") + port map (AD3=>rptr_14, AD2=>rcount_14, AD1=>w_gcount_r215, + AD0=>scuba_vlo, DO0=>empty_cmp_clr); + + LUT4_1: ROM16X1A + generic map (initval=> X"0140") + port map (AD3=>wptr_15, AD2=>wcount_15, AD1=>r_gcount_w214, + AD0=>scuba_vlo, DO0=>full_cmp_set); + + LUT4_0: ROM16X1A + generic map (initval=> X"4001") + port map (AD3=>wptr_15, AD2=>wcount_15, AD1=>r_gcount_w214, + AD0=>scuba_vlo, DO0=>full_cmp_clr); + + pdp_ram_0_0_31: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(0), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_0, + DOB1=>mdout1_0_16, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_1_30: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(1), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_1, + DOB1=>mdout1_0_17, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_2_29: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(2), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_2, + DOB1=>mdout1_0_18, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_3_28: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(3), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_3, + DOB1=>mdout1_0_19, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_4_27: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(4), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_4, + DOB1=>mdout1_0_20, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_5_26: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(5), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_5, + DOB1=>mdout1_0_21, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_6_25: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(6), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_6, + DOB1=>mdout1_0_22, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_7_24: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(7), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_7, + DOB1=>mdout1_0_23, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_8_23: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(8), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_8, + DOB1=>mdout1_0_24, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_9_22: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(9), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_9, + DOB1=>mdout1_0_25, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_10_21: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(10), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_10, + DOB1=>mdout1_0_26, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_11_20: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(11), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_11, + DOB1=>mdout1_0_27, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_12_19: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(12), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_12, + DOB1=>mdout1_0_28, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_13_18: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(13), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_13, + DOB1=>mdout1_0_29, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_14_17: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(14), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_14, + DOB1=>mdout1_0_30, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_0_15_16: DP16KC + generic map (CSDECODE_B=> "0b000", CSDECODE_A=> "0b000", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(15), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_0_15, + DOB1=>mdout1_0_31, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_0_15: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(0), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_0, + DOB1=>mdout1_1_16, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_1_14: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(1), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_1, + DOB1=>mdout1_1_17, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_2_13: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(2), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_2, + DOB1=>mdout1_1_18, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_3_12: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(3), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_3, + DOB1=>mdout1_1_19, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_4_11: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(4), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_4, + DOB1=>mdout1_1_20, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_5_10: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(5), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_5, + DOB1=>mdout1_1_21, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_6_9: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(6), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_6, + DOB1=>mdout1_1_22, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_7_8: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(7), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_7, + DOB1=>mdout1_1_23, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_8_7: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(8), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_8, + DOB1=>mdout1_1_24, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_9_6: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(9), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_9, + DOB1=>mdout1_1_25, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_10_5: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(10), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_10, + DOB1=>mdout1_1_26, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_11_4: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(11), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_11, + DOB1=>mdout1_1_27, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_12_3: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(12), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_12, + DOB1=>mdout1_1_28, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_13_2: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(13), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_13, + DOB1=>mdout1_1_29, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_14_1: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(14), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_14, + DOB1=>mdout1_1_30, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + pdp_ram_1_15_0: DP16KC + generic map (CSDECODE_B=> "0b001", CSDECODE_A=> "0b001", + WRITEMODE_B=> "NORMAL", WRITEMODE_A=> "NORMAL", GSR=> "DISABLED", + REGMODE_B=> "NOREG", REGMODE_A=> "NOREG", DATA_WIDTH_B=> 2, + DATA_WIDTH_A=> 1) + port map (DIA0=>scuba_vlo, DIA1=>scuba_vlo, DIA2=>scuba_vlo, + DIA3=>scuba_vlo, DIA4=>scuba_vlo, DIA5=>scuba_vlo, + DIA6=>scuba_vlo, DIA7=>scuba_vlo, DIA8=>scuba_vlo, + DIA9=>scuba_vlo, DIA10=>scuba_vlo, DIA11=>Data(15), + DIA12=>scuba_vlo, DIA13=>scuba_vlo, DIA14=>scuba_vlo, + DIA15=>scuba_vlo, DIA16=>scuba_vlo, DIA17=>scuba_vlo, + ADA0=>wptr_0, ADA1=>wptr_1, ADA2=>wptr_2, ADA3=>wptr_3, + ADA4=>wptr_4, ADA5=>wptr_5, ADA6=>wptr_6, ADA7=>wptr_7, + ADA8=>wptr_8, ADA9=>wptr_9, ADA10=>wptr_10, ADA11=>wptr_11, + ADA12=>wptr_12, ADA13=>wptr_13, CEA=>wren_i, CLKA=>WrClock, + OCEA=>wren_i, WEA=>scuba_vhi, CSA0=>wptr_14, CSA1=>scuba_vlo, + CSA2=>scuba_vlo, RSTA=>Reset, DIB0=>scuba_vlo, + DIB1=>scuba_vlo, DIB2=>scuba_vlo, DIB3=>scuba_vlo, + DIB4=>scuba_vlo, DIB5=>scuba_vlo, DIB6=>scuba_vlo, + DIB7=>scuba_vlo, DIB8=>scuba_vlo, DIB9=>scuba_vlo, + DIB10=>scuba_vlo, DIB11=>scuba_vlo, DIB12=>scuba_vlo, + DIB13=>scuba_vlo, DIB14=>scuba_vlo, DIB15=>scuba_vlo, + DIB16=>scuba_vlo, DIB17=>scuba_vlo, ADB0=>scuba_vlo, + ADB1=>rptr_0, ADB2=>rptr_1, ADB3=>rptr_2, ADB4=>rptr_3, + ADB5=>rptr_4, ADB6=>rptr_5, ADB7=>rptr_6, ADB8=>rptr_7, + ADB9=>rptr_8, ADB10=>rptr_9, ADB11=>rptr_10, ADB12=>rptr_11, + ADB13=>rptr_12, CEB=>rden_i, CLKB=>RdClock, OCEB=>rden_i, + WEB=>scuba_vlo, CSB0=>rptr_13, CSB1=>scuba_vlo, + CSB2=>scuba_vlo, RSTB=>Reset, DOA0=>open, DOA1=>open, + DOA2=>open, DOA3=>open, DOA4=>open, DOA5=>open, DOA6=>open, + DOA7=>open, DOA8=>open, DOA9=>open, DOA10=>open, DOA11=>open, + DOA12=>open, DOA13=>open, DOA14=>open, DOA15=>open, + DOA16=>open, DOA17=>open, DOB0=>mdout1_1_15, + DOB1=>mdout1_1_31, DOB2=>open, DOB3=>open, DOB4=>open, + DOB5=>open, DOB6=>open, DOB7=>open, DOB8=>open, DOB9=>open, + DOB10=>open, DOB11=>open, DOB12=>open, DOB13=>open, + DOB14=>open, DOB15=>open, DOB16=>open, DOB17=>open); + + FF_173: FD1P3BX + port map (D=>iwcount_0, SP=>wren_i, CK=>WrClock, PD=>Reset, + Q=>wcount_0); + + FF_172: FD1P3DX + port map (D=>iwcount_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_1); + + FF_171: FD1P3DX + port map (D=>iwcount_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_2); + + FF_170: FD1P3DX + port map (D=>iwcount_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_3); + + FF_169: FD1P3DX + port map (D=>iwcount_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_4); + + FF_168: FD1P3DX + port map (D=>iwcount_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_5); + + FF_167: FD1P3DX + port map (D=>iwcount_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_6); + + FF_166: FD1P3DX + port map (D=>iwcount_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_7); + + FF_165: FD1P3DX + port map (D=>iwcount_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_8); + + FF_164: FD1P3DX + port map (D=>iwcount_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_9); + + FF_163: FD1P3DX + port map (D=>iwcount_10, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_10); + + FF_162: FD1P3DX + port map (D=>iwcount_11, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_11); + + FF_161: FD1P3DX + port map (D=>iwcount_12, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_12); + + FF_160: FD1P3DX + port map (D=>iwcount_13, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_13); + + FF_159: FD1P3DX + port map (D=>iwcount_14, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_14); + + FF_158: FD1P3DX + port map (D=>iwcount_15, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wcount_15); + + FF_157: FD1P3DX + port map (D=>w_gdata_0, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_0); + + FF_156: FD1P3DX + port map (D=>w_gdata_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_1); + + FF_155: FD1P3DX + port map (D=>w_gdata_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_2); + + FF_154: FD1P3DX + port map (D=>w_gdata_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_3); + + FF_153: FD1P3DX + port map (D=>w_gdata_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_4); + + FF_152: FD1P3DX + port map (D=>w_gdata_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_5); + + FF_151: FD1P3DX + port map (D=>w_gdata_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_6); + + FF_150: FD1P3DX + port map (D=>w_gdata_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_7); + + FF_149: FD1P3DX + port map (D=>w_gdata_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_8); + + FF_148: FD1P3DX + port map (D=>w_gdata_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_9); + + FF_147: FD1P3DX + port map (D=>w_gdata_10, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_10); + + FF_146: FD1P3DX + port map (D=>w_gdata_11, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_11); + + FF_145: FD1P3DX + port map (D=>w_gdata_12, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_12); + + FF_144: FD1P3DX + port map (D=>w_gdata_13, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_13); + + FF_143: FD1P3DX + port map (D=>w_gdata_14, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_14); + + FF_142: FD1P3DX + port map (D=>wcount_15, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>w_gcount_15); + + FF_141: FD1P3DX + port map (D=>wcount_0, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_0); + + FF_140: FD1P3DX + port map (D=>wcount_1, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_1); + + FF_139: FD1P3DX + port map (D=>wcount_2, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_2); + + FF_138: FD1P3DX + port map (D=>wcount_3, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_3); + + FF_137: FD1P3DX + port map (D=>wcount_4, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_4); + + FF_136: FD1P3DX + port map (D=>wcount_5, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_5); + + FF_135: FD1P3DX + port map (D=>wcount_6, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_6); + + FF_134: FD1P3DX + port map (D=>wcount_7, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_7); + + FF_133: FD1P3DX + port map (D=>wcount_8, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_8); + + FF_132: FD1P3DX + port map (D=>wcount_9, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_9); + + FF_131: FD1P3DX + port map (D=>wcount_10, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_10); + + FF_130: FD1P3DX + port map (D=>wcount_11, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_11); + + FF_129: FD1P3DX + port map (D=>wcount_12, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_12); + + FF_128: FD1P3DX + port map (D=>wcount_13, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_13); + + FF_127: FD1P3DX + port map (D=>wcount_14, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_14); + + FF_126: FD1P3DX + port map (D=>wcount_15, SP=>wren_i, CK=>WrClock, CD=>Reset, + Q=>wptr_15); + + FF_125: FD1P3BX + port map (D=>ircount_0, SP=>rden_i, CK=>RdClock, PD=>rRst, + Q=>rcount_0); + + FF_124: FD1P3DX + port map (D=>ircount_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_1); + + FF_123: FD1P3DX + port map (D=>ircount_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_2); + + FF_122: FD1P3DX + port map (D=>ircount_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_3); + + FF_121: FD1P3DX + port map (D=>ircount_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_4); + + FF_120: FD1P3DX + port map (D=>ircount_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_5); + + FF_119: FD1P3DX + port map (D=>ircount_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_6); + + FF_118: FD1P3DX + port map (D=>ircount_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_7); + + FF_117: FD1P3DX + port map (D=>ircount_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_8); + + FF_116: FD1P3DX + port map (D=>ircount_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_9); + + FF_115: FD1P3DX + port map (D=>ircount_10, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_10); + + FF_114: FD1P3DX + port map (D=>ircount_11, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_11); + + FF_113: FD1P3DX + port map (D=>ircount_12, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_12); + + FF_112: FD1P3DX + port map (D=>ircount_13, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_13); + + FF_111: FD1P3DX + port map (D=>ircount_14, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rcount_14); + + FF_110: FD1P3DX + port map (D=>r_gdata_0, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_0); + + FF_109: FD1P3DX + port map (D=>r_gdata_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_1); + + FF_108: FD1P3DX + port map (D=>r_gdata_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_2); + + FF_107: FD1P3DX + port map (D=>r_gdata_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_3); + + FF_106: FD1P3DX + port map (D=>r_gdata_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_4); + + FF_105: FD1P3DX + port map (D=>r_gdata_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_5); + + FF_104: FD1P3DX + port map (D=>r_gdata_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_6); + + FF_103: FD1P3DX + port map (D=>r_gdata_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_7); + + FF_102: FD1P3DX + port map (D=>r_gdata_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_8); + + FF_101: FD1P3DX + port map (D=>r_gdata_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_9); + + FF_100: FD1P3DX + port map (D=>r_gdata_10, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_10); + + FF_99: FD1P3DX + port map (D=>r_gdata_11, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_11); + + FF_98: FD1P3DX + port map (D=>r_gdata_12, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_12); + + FF_97: FD1P3DX + port map (D=>r_gdata_13, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_13); + + FF_96: FD1P3DX + port map (D=>rcount_14, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>r_gcount_14); + + FF_95: FD1P3DX + port map (D=>rcount_0, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_0); + + FF_94: FD1P3DX + port map (D=>rcount_1, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_1); + + FF_93: FD1P3DX + port map (D=>rcount_2, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_2); + + FF_92: FD1P3DX + port map (D=>rcount_3, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_3); + + FF_91: FD1P3DX + port map (D=>rcount_4, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_4); + + FF_90: FD1P3DX + port map (D=>rcount_5, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_5); + + FF_89: FD1P3DX + port map (D=>rcount_6, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_6); + + FF_88: FD1P3DX + port map (D=>rcount_7, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_7); + + FF_87: FD1P3DX + port map (D=>rcount_8, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_8); + + FF_86: FD1P3DX + port map (D=>rcount_9, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_9); + + FF_85: FD1P3DX + port map (D=>rcount_10, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_10); + + FF_84: FD1P3DX + port map (D=>rcount_11, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_11); + + FF_83: FD1P3DX + port map (D=>rcount_12, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_12); + + FF_82: FD1P3DX + port map (D=>rcount_13, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_13); + + FF_81: FD1P3DX + port map (D=>rcount_14, SP=>rden_i, CK=>RdClock, CD=>rRst, + Q=>rptr_14); + + FF_80: FD1P3DX + port map (D=>rptr_13, SP=>rden_i, CK=>RdClock, CD=>scuba_vlo, + Q=>rptr_13_ff); + + FF_79: FD1S3DX + port map (D=>w_gcount_0, CK=>RdClock, CD=>Reset, Q=>w_gcount_r0); + + FF_78: FD1S3DX + port map (D=>w_gcount_1, CK=>RdClock, CD=>Reset, Q=>w_gcount_r1); + + FF_77: FD1S3DX + port map (D=>w_gcount_2, CK=>RdClock, CD=>Reset, Q=>w_gcount_r2); + + FF_76: FD1S3DX + port map (D=>w_gcount_3, CK=>RdClock, CD=>Reset, Q=>w_gcount_r3); + + FF_75: FD1S3DX + port map (D=>w_gcount_4, CK=>RdClock, CD=>Reset, Q=>w_gcount_r4); + + FF_74: FD1S3DX + port map (D=>w_gcount_5, CK=>RdClock, CD=>Reset, Q=>w_gcount_r5); + + FF_73: FD1S3DX + port map (D=>w_gcount_6, CK=>RdClock, CD=>Reset, Q=>w_gcount_r6); + + FF_72: FD1S3DX + port map (D=>w_gcount_7, CK=>RdClock, CD=>Reset, Q=>w_gcount_r7); + + FF_71: FD1S3DX + port map (D=>w_gcount_8, CK=>RdClock, CD=>Reset, Q=>w_gcount_r8); + + FF_70: FD1S3DX + port map (D=>w_gcount_9, CK=>RdClock, CD=>Reset, Q=>w_gcount_r9); + + FF_69: FD1S3DX + port map (D=>w_gcount_10, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r10); + + FF_68: FD1S3DX + port map (D=>w_gcount_11, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r11); + + FF_67: FD1S3DX + port map (D=>w_gcount_12, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r12); + + FF_66: FD1S3DX + port map (D=>w_gcount_13, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r13); + + FF_65: FD1S3DX + port map (D=>w_gcount_14, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r14); + + FF_64: FD1S3DX + port map (D=>w_gcount_15, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r15); + + FF_63: FD1S3DX + port map (D=>r_gcount_0, CK=>WrClock, CD=>rRst, Q=>r_gcount_w0); + + FF_62: FD1S3DX + port map (D=>r_gcount_1, CK=>WrClock, CD=>rRst, Q=>r_gcount_w1); + + FF_61: FD1S3DX + port map (D=>r_gcount_2, CK=>WrClock, CD=>rRst, Q=>r_gcount_w2); + + FF_60: FD1S3DX + port map (D=>r_gcount_3, CK=>WrClock, CD=>rRst, Q=>r_gcount_w3); + + FF_59: FD1S3DX + port map (D=>r_gcount_4, CK=>WrClock, CD=>rRst, Q=>r_gcount_w4); + + FF_58: FD1S3DX + port map (D=>r_gcount_5, CK=>WrClock, CD=>rRst, Q=>r_gcount_w5); + + FF_57: FD1S3DX + port map (D=>r_gcount_6, CK=>WrClock, CD=>rRst, Q=>r_gcount_w6); + + FF_56: FD1S3DX + port map (D=>r_gcount_7, CK=>WrClock, CD=>rRst, Q=>r_gcount_w7); + + FF_55: FD1S3DX + port map (D=>r_gcount_8, CK=>WrClock, CD=>rRst, Q=>r_gcount_w8); + + FF_54: FD1S3DX + port map (D=>r_gcount_9, CK=>WrClock, CD=>rRst, Q=>r_gcount_w9); + + FF_53: FD1S3DX + port map (D=>r_gcount_10, CK=>WrClock, CD=>rRst, Q=>r_gcount_w10); + + FF_52: FD1S3DX + port map (D=>r_gcount_11, CK=>WrClock, CD=>rRst, Q=>r_gcount_w11); + + FF_51: FD1S3DX + port map (D=>r_gcount_12, CK=>WrClock, CD=>rRst, Q=>r_gcount_w12); + + FF_50: FD1S3DX + port map (D=>r_gcount_13, CK=>WrClock, CD=>rRst, Q=>r_gcount_w13); + + FF_49: FD1S3DX + port map (D=>r_gcount_14, CK=>WrClock, CD=>rRst, Q=>r_gcount_w14); + + FF_48: FD1S3DX + port map (D=>w_gcount_r0, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r20); + + FF_47: FD1S3DX + port map (D=>w_gcount_r1, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r21); + + FF_46: FD1S3DX + port map (D=>w_gcount_r2, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r22); + + FF_45: FD1S3DX + port map (D=>w_gcount_r3, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r23); + + FF_44: FD1S3DX + port map (D=>w_gcount_r4, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r24); + + FF_43: FD1S3DX + port map (D=>w_gcount_r5, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r25); + + FF_42: FD1S3DX + port map (D=>w_gcount_r6, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r26); + + FF_41: FD1S3DX + port map (D=>w_gcount_r7, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r27); + + FF_40: FD1S3DX + port map (D=>w_gcount_r8, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r28); + + FF_39: FD1S3DX + port map (D=>w_gcount_r9, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r29); + + FF_38: FD1S3DX + port map (D=>w_gcount_r10, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r210); + + FF_37: FD1S3DX + port map (D=>w_gcount_r11, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r211); + + FF_36: FD1S3DX + port map (D=>w_gcount_r12, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r212); + + FF_35: FD1S3DX + port map (D=>w_gcount_r13, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r213); + + FF_34: FD1S3DX + port map (D=>w_gcount_r14, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r214); + + FF_33: FD1S3DX + port map (D=>w_gcount_r15, CK=>RdClock, CD=>Reset, + Q=>w_gcount_r215); + + FF_32: FD1S3DX + port map (D=>r_gcount_w0, CK=>WrClock, CD=>rRst, Q=>r_gcount_w20); + + FF_31: FD1S3DX + port map (D=>r_gcount_w1, CK=>WrClock, CD=>rRst, Q=>r_gcount_w21); + + FF_30: FD1S3DX + port map (D=>r_gcount_w2, CK=>WrClock, CD=>rRst, Q=>r_gcount_w22); + + FF_29: FD1S3DX + port map (D=>r_gcount_w3, CK=>WrClock, CD=>rRst, Q=>r_gcount_w23); + + FF_28: FD1S3DX + port map (D=>r_gcount_w4, CK=>WrClock, CD=>rRst, Q=>r_gcount_w24); + + FF_27: FD1S3DX + port map (D=>r_gcount_w5, CK=>WrClock, CD=>rRst, Q=>r_gcount_w25); + + FF_26: FD1S3DX + port map (D=>r_gcount_w6, CK=>WrClock, CD=>rRst, Q=>r_gcount_w26); + + FF_25: FD1S3DX + port map (D=>r_gcount_w7, CK=>WrClock, CD=>rRst, Q=>r_gcount_w27); + + FF_24: FD1S3DX + port map (D=>r_gcount_w8, CK=>WrClock, CD=>rRst, Q=>r_gcount_w28); + + FF_23: FD1S3DX + port map (D=>r_gcount_w9, CK=>WrClock, CD=>rRst, Q=>r_gcount_w29); + + FF_22: FD1S3DX + port map (D=>r_gcount_w10, CK=>WrClock, CD=>rRst, + Q=>r_gcount_w210); + + FF_21: FD1S3DX + port map (D=>r_gcount_w11, CK=>WrClock, CD=>rRst, + Q=>r_gcount_w211); + + FF_20: FD1S3DX + port map (D=>r_gcount_w12, CK=>WrClock, CD=>rRst, + Q=>r_gcount_w212); + + FF_19: FD1S3DX + port map (D=>r_gcount_w13, CK=>WrClock, CD=>rRst, + Q=>r_gcount_w213); + + FF_18: FD1S3DX + port map (D=>r_gcount_w14, CK=>WrClock, CD=>rRst, + Q=>r_gcount_w214); + + FF_17: FD1S3DX + port map (D=>wfill_sub_0, CK=>WrClock, CD=>Reset, Q=>WCNT(1)); + + FF_16: FD1S3DX + port map (D=>wfill_sub_1, CK=>WrClock, CD=>Reset, Q=>WCNT(2)); + + FF_15: FD1S3DX + port map (D=>wfill_sub_2, CK=>WrClock, CD=>Reset, Q=>WCNT(3)); + + FF_14: FD1S3DX + port map (D=>wfill_sub_3, CK=>WrClock, CD=>Reset, Q=>WCNT(4)); + + FF_13: FD1S3DX + port map (D=>wfill_sub_4, CK=>WrClock, CD=>Reset, Q=>WCNT(5)); + + FF_12: FD1S3DX + port map (D=>wfill_sub_5, CK=>WrClock, CD=>Reset, Q=>WCNT(6)); + + FF_11: FD1S3DX + port map (D=>wfill_sub_6, CK=>WrClock, CD=>Reset, Q=>WCNT(7)); + + FF_10: FD1S3DX + port map (D=>wfill_sub_7, CK=>WrClock, CD=>Reset, Q=>WCNT(8)); + + FF_9: FD1S3DX + port map (D=>wfill_sub_8, CK=>WrClock, CD=>Reset, Q=>WCNT(9)); + + FF_8: FD1S3DX + port map (D=>wfill_sub_9, CK=>WrClock, CD=>Reset, Q=>WCNT(10)); + + FF_7: FD1S3DX + port map (D=>wfill_sub_10, CK=>WrClock, CD=>Reset, Q=>WCNT(11)); + + FF_6: FD1S3DX + port map (D=>wfill_sub_11, CK=>WrClock, CD=>Reset, Q=>WCNT(12)); + + FF_5: FD1S3DX + port map (D=>wfill_sub_12, CK=>WrClock, CD=>Reset, Q=>WCNT(13)); + + FF_4: FD1S3DX + port map (D=>wfill_sub_13, CK=>WrClock, CD=>Reset, Q=>WCNT(14)); + + FF_3: FD1S3DX + port map (D=>wfill_sub_14, CK=>WrClock, CD=>Reset, Q=>WCNT(15)); + + FF_2: FD1S3DX + port map (D=>wptr_0, CK=>WrClock, CD=>Reset, Q=>WCNT(0)); + + FF_1: FD1S3BX + port map (D=>empty_d, CK=>RdClock, PD=>rRst, Q=>empty_i); + + FF_0: FD1S3DX + port map (D=>full_d, CK=>WrClock, CD=>Reset, Q=>full_i); + + w_gctr_cia: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, CI=>scuba_vlo, COUT=>w_gctr_ci, S0=>open, + S1=>open); + + w_gctr_0: CU2 + port map (CI=>w_gctr_ci, PC0=>wcount_0, PC1=>wcount_1, CO=>co0, + NC0=>iwcount_0, NC1=>iwcount_1); + + w_gctr_1: CU2 + port map (CI=>co0, PC0=>wcount_2, PC1=>wcount_3, CO=>co1, + NC0=>iwcount_2, NC1=>iwcount_3); + + w_gctr_2: CU2 + port map (CI=>co1, PC0=>wcount_4, PC1=>wcount_5, CO=>co2, + NC0=>iwcount_4, NC1=>iwcount_5); + + w_gctr_3: CU2 + port map (CI=>co2, PC0=>wcount_6, PC1=>wcount_7, CO=>co3, + NC0=>iwcount_6, NC1=>iwcount_7); + + w_gctr_4: CU2 + port map (CI=>co3, PC0=>wcount_8, PC1=>wcount_9, CO=>co4, + NC0=>iwcount_8, NC1=>iwcount_9); + + w_gctr_5: CU2 + port map (CI=>co4, PC0=>wcount_10, PC1=>wcount_11, CO=>co5, + NC0=>iwcount_10, NC1=>iwcount_11); + + w_gctr_6: CU2 + port map (CI=>co5, PC0=>wcount_12, PC1=>wcount_13, CO=>co6, + NC0=>iwcount_12, NC1=>iwcount_13); + + w_gctr_7: CU2 + port map (CI=>co6, PC0=>wcount_14, PC1=>wcount_15, CO=>co7, + NC0=>iwcount_14, NC1=>iwcount_15); + + r_gctr_cia: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vhi, B0=>scuba_vlo, + B1=>scuba_vhi, CI=>scuba_vlo, COUT=>r_gctr_ci, S0=>open, + S1=>open); + + r_gctr_0: CU2 + port map (CI=>r_gctr_ci, PC0=>rcount_0, PC1=>rcount_1, CO=>co0_1, + NC0=>ircount_0, NC1=>ircount_1); + + r_gctr_1: CU2 + port map (CI=>co0_1, PC0=>rcount_2, PC1=>rcount_3, CO=>co1_1, + NC0=>ircount_2, NC1=>ircount_3); + + r_gctr_2: CU2 + port map (CI=>co1_1, PC0=>rcount_4, PC1=>rcount_5, CO=>co2_1, + NC0=>ircount_4, NC1=>ircount_5); + + r_gctr_3: CU2 + port map (CI=>co2_1, PC0=>rcount_6, PC1=>rcount_7, CO=>co3_1, + NC0=>ircount_6, NC1=>ircount_7); + + r_gctr_4: CU2 + port map (CI=>co3_1, PC0=>rcount_8, PC1=>rcount_9, CO=>co4_1, + NC0=>ircount_8, NC1=>ircount_9); + + r_gctr_5: CU2 + port map (CI=>co4_1, PC0=>rcount_10, PC1=>rcount_11, CO=>co5_1, + NC0=>ircount_10, NC1=>ircount_11); + + r_gctr_6: CU2 + port map (CI=>co5_1, PC0=>rcount_12, PC1=>rcount_13, CO=>co6_1, + NC0=>ircount_12, NC1=>ircount_13); + + r_gctr_7: CU2 + port map (CI=>co6_1, PC0=>rcount_14, PC1=>scuba_vlo, CO=>co7_1, + NC0=>ircount_14, NC1=>open); + + mux_31: MUX21 + port map (D0=>mdout1_0_0, D1=>mdout1_1_0, SD=>rptr_13_ff, + Z=>Q(0)); + + mux_30: MUX21 + port map (D0=>mdout1_0_1, D1=>mdout1_1_1, SD=>rptr_13_ff, + Z=>Q(1)); + + mux_29: MUX21 + port map (D0=>mdout1_0_2, D1=>mdout1_1_2, SD=>rptr_13_ff, + Z=>Q(2)); + + mux_28: MUX21 + port map (D0=>mdout1_0_3, D1=>mdout1_1_3, SD=>rptr_13_ff, + Z=>Q(3)); + + mux_27: MUX21 + port map (D0=>mdout1_0_4, D1=>mdout1_1_4, SD=>rptr_13_ff, + Z=>Q(4)); + + mux_26: MUX21 + port map (D0=>mdout1_0_5, D1=>mdout1_1_5, SD=>rptr_13_ff, + Z=>Q(5)); + + mux_25: MUX21 + port map (D0=>mdout1_0_6, D1=>mdout1_1_6, SD=>rptr_13_ff, + Z=>Q(6)); + + mux_24: MUX21 + port map (D0=>mdout1_0_7, D1=>mdout1_1_7, SD=>rptr_13_ff, + Z=>Q(7)); + + mux_23: MUX21 + port map (D0=>mdout1_0_8, D1=>mdout1_1_8, SD=>rptr_13_ff, + Z=>Q(8)); + + mux_22: MUX21 + port map (D0=>mdout1_0_9, D1=>mdout1_1_9, SD=>rptr_13_ff, + Z=>Q(9)); + + mux_21: MUX21 + port map (D0=>mdout1_0_10, D1=>mdout1_1_10, SD=>rptr_13_ff, + Z=>Q(10)); + + mux_20: MUX21 + port map (D0=>mdout1_0_11, D1=>mdout1_1_11, SD=>rptr_13_ff, + Z=>Q(11)); + + mux_19: MUX21 + port map (D0=>mdout1_0_12, D1=>mdout1_1_12, SD=>rptr_13_ff, + Z=>Q(12)); + + mux_18: MUX21 + port map (D0=>mdout1_0_13, D1=>mdout1_1_13, SD=>rptr_13_ff, + Z=>Q(13)); + + mux_17: MUX21 + port map (D0=>mdout1_0_14, D1=>mdout1_1_14, SD=>rptr_13_ff, + Z=>Q(14)); + + mux_16: MUX21 + port map (D0=>mdout1_0_15, D1=>mdout1_1_15, SD=>rptr_13_ff, + Z=>Q(15)); + + mux_15: MUX21 + port map (D0=>mdout1_0_16, D1=>mdout1_1_16, SD=>rptr_13_ff, + Z=>Q(16)); + + mux_14: MUX21 + port map (D0=>mdout1_0_17, D1=>mdout1_1_17, SD=>rptr_13_ff, + Z=>Q(17)); + + mux_13: MUX21 + port map (D0=>mdout1_0_18, D1=>mdout1_1_18, SD=>rptr_13_ff, + Z=>Q(18)); + + mux_12: MUX21 + port map (D0=>mdout1_0_19, D1=>mdout1_1_19, SD=>rptr_13_ff, + Z=>Q(19)); + + mux_11: MUX21 + port map (D0=>mdout1_0_20, D1=>mdout1_1_20, SD=>rptr_13_ff, + Z=>Q(20)); + + mux_10: MUX21 + port map (D0=>mdout1_0_21, D1=>mdout1_1_21, SD=>rptr_13_ff, + Z=>Q(21)); + + mux_9: MUX21 + port map (D0=>mdout1_0_22, D1=>mdout1_1_22, SD=>rptr_13_ff, + Z=>Q(22)); + + mux_8: MUX21 + port map (D0=>mdout1_0_23, D1=>mdout1_1_23, SD=>rptr_13_ff, + Z=>Q(23)); + + mux_7: MUX21 + port map (D0=>mdout1_0_24, D1=>mdout1_1_24, SD=>rptr_13_ff, + Z=>Q(24)); + + mux_6: MUX21 + port map (D0=>mdout1_0_25, D1=>mdout1_1_25, SD=>rptr_13_ff, + Z=>Q(25)); + + mux_5: MUX21 + port map (D0=>mdout1_0_26, D1=>mdout1_1_26, SD=>rptr_13_ff, + Z=>Q(26)); + + mux_4: MUX21 + port map (D0=>mdout1_0_27, D1=>mdout1_1_27, SD=>rptr_13_ff, + Z=>Q(27)); + + mux_3: MUX21 + port map (D0=>mdout1_0_28, D1=>mdout1_1_28, SD=>rptr_13_ff, + Z=>Q(28)); + + mux_2: MUX21 + port map (D0=>mdout1_0_29, D1=>mdout1_1_29, SD=>rptr_13_ff, + Z=>Q(29)); + + mux_1: MUX21 + port map (D0=>mdout1_0_30, D1=>mdout1_1_30, SD=>rptr_13_ff, + Z=>Q(30)); + + mux_0: MUX21 + port map (D0=>mdout1_0_31, D1=>mdout1_1_31, SD=>rptr_13_ff, + Z=>Q(31)); + + precin_inst442: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>scuba_vlo, COUT=>precin, S0=>open, + S1=>open); + + scuba_vhi_inst: VHI + port map (Z=>scuba_vhi); + + wfill_0: FSUB2B + port map (A0=>scuba_vhi, A1=>wptr_1, B0=>scuba_vlo, + B1=>rcount_w0, BI=>precin, BOUT=>co0_2, S0=>open, + S1=>wfill_sub_0); + + wfill_1: FSUB2B + port map (A0=>wptr_2, A1=>wptr_3, B0=>rcount_w1, B1=>rcount_w2, + BI=>co0_2, BOUT=>co1_2, S0=>wfill_sub_1, S1=>wfill_sub_2); + + wfill_2: FSUB2B + port map (A0=>wptr_4, A1=>wptr_5, B0=>rcount_w3, B1=>rcount_w4, + BI=>co1_2, BOUT=>co2_2, S0=>wfill_sub_3, S1=>wfill_sub_4); + + wfill_3: FSUB2B + port map (A0=>wptr_6, A1=>wptr_7, B0=>rcount_w5, B1=>rcount_w6, + BI=>co2_2, BOUT=>co3_2, S0=>wfill_sub_5, S1=>wfill_sub_6); + + wfill_4: FSUB2B + port map (A0=>wptr_8, A1=>wptr_9, B0=>rcount_w7, B1=>rcount_w8, + BI=>co3_2, BOUT=>co4_2, S0=>wfill_sub_7, S1=>wfill_sub_8); + + wfill_5: FSUB2B + port map (A0=>wptr_10, A1=>wptr_11, B0=>rcount_w9, + B1=>rcount_w10, BI=>co4_2, BOUT=>co5_2, S0=>wfill_sub_9, + S1=>wfill_sub_10); + + wfill_6: FSUB2B + port map (A0=>wptr_12, A1=>wptr_13, B0=>r_g2b_xor_cluster_0, + B1=>rcount_w12, BI=>co5_2, BOUT=>co6_2, S0=>wfill_sub_11, + S1=>wfill_sub_12); + + wfill_7: FSUB2B + port map (A0=>wptr_14, A1=>wfill_sub_msb, B0=>rcount_w13, + B1=>scuba_vlo, BI=>co6_2, BOUT=>co7_2, S0=>wfill_sub_13, + S1=>wfill_sub_14); + + wfilld: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>co7_2, COUT=>open, S0=>co7_2d, S1=>open); + + empty_cmp_ci_a: FADD2B + port map (A0=>scuba_vlo, A1=>rden_i, B0=>scuba_vlo, B1=>rden_i, + CI=>scuba_vlo, COUT=>cmp_ci, S0=>open, S1=>open); + + empty_cmp_0: AGEB2 + port map (A0=>rcount_0, A1=>rcount_1, B0=>wcount_r1, + B1=>wcount_r2, CI=>cmp_ci, GE=>co0_3); + + empty_cmp_1: AGEB2 + port map (A0=>rcount_2, A1=>rcount_3, B0=>wcount_r3, + B1=>wcount_r4, CI=>co0_3, GE=>co1_3); + + empty_cmp_2: AGEB2 + port map (A0=>rcount_4, A1=>rcount_5, B0=>wcount_r5, + B1=>wcount_r6, CI=>co1_3, GE=>co2_3); + + empty_cmp_3: AGEB2 + port map (A0=>rcount_6, A1=>rcount_7, B0=>wcount_r7, + B1=>wcount_r8, CI=>co2_3, GE=>co3_3); + + empty_cmp_4: AGEB2 + port map (A0=>rcount_8, A1=>rcount_9, B0=>wcount_r9, + B1=>wcount_r10, CI=>co3_3, GE=>co4_3); + + empty_cmp_5: AGEB2 + port map (A0=>rcount_10, A1=>rcount_11, B0=>wcount_r11, + B1=>w_g2b_xor_cluster_0, CI=>co4_3, GE=>co5_3); + + empty_cmp_6: AGEB2 + port map (A0=>rcount_12, A1=>rcount_13, B0=>wcount_r13, + B1=>wcount_r14, CI=>co5_3, GE=>co6_3); + + empty_cmp_7: AGEB2 + port map (A0=>empty_cmp_set, A1=>scuba_vlo, B0=>empty_cmp_clr, + B1=>scuba_vlo, CI=>co6_3, GE=>empty_d_c); + + a0: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>empty_d_c, COUT=>open, S0=>empty_d, + S1=>open); + + full_cmp_ci_a: FADD2B + port map (A0=>scuba_vlo, A1=>wren_i, B0=>scuba_vlo, B1=>wren_i, + CI=>scuba_vlo, COUT=>cmp_ci_1, S0=>open, S1=>open); + + full_cmp_0: AGEB2 + port map (A0=>wcount_0, A1=>wcount_1, B0=>scuba_vlo, + B1=>rcount_w0, CI=>cmp_ci_1, GE=>co0_4); + + full_cmp_1: AGEB2 + port map (A0=>wcount_2, A1=>wcount_3, B0=>rcount_w1, + B1=>rcount_w2, CI=>co0_4, GE=>co1_4); + + full_cmp_2: AGEB2 + port map (A0=>wcount_4, A1=>wcount_5, B0=>rcount_w3, + B1=>rcount_w4, CI=>co1_4, GE=>co2_4); + + full_cmp_3: AGEB2 + port map (A0=>wcount_6, A1=>wcount_7, B0=>rcount_w5, + B1=>rcount_w6, CI=>co2_4, GE=>co3_4); + + full_cmp_4: AGEB2 + port map (A0=>wcount_8, A1=>wcount_9, B0=>rcount_w7, + B1=>rcount_w8, CI=>co3_4, GE=>co4_4); + + full_cmp_5: AGEB2 + port map (A0=>wcount_10, A1=>wcount_11, B0=>rcount_w9, + B1=>rcount_w10, CI=>co4_4, GE=>co5_4); + + full_cmp_6: AGEB2 + port map (A0=>wcount_12, A1=>wcount_13, B0=>r_g2b_xor_cluster_0, + B1=>rcount_w12, CI=>co5_4, GE=>co6_4); + + full_cmp_7: AGEB2 + port map (A0=>wcount_14, A1=>full_cmp_set, B0=>rcount_w13, + B1=>full_cmp_clr, CI=>co6_4, GE=>full_d_c); + + scuba_vlo_inst: VLO + port map (Z=>scuba_vlo); + + a1: FADD2B + port map (A0=>scuba_vlo, A1=>scuba_vlo, B0=>scuba_vlo, + B1=>scuba_vlo, CI=>full_d_c, COUT=>open, S0=>full_d, + S1=>open); + + Empty <= empty_i; + Full <= full_i; +end Structure; + +-- synopsys translate_off +library ecp3; +configuration Structure_CON of fifo_64kx16x32_wcnt is + for Structure + for all:AGEB2 use entity ecp3.AGEB2(V); end for; + for all:AND2 use entity ecp3.AND2(V); end for; + for all:CU2 use entity ecp3.CU2(V); end for; + for all:FADD2B use entity ecp3.FADD2B(V); end for; + for all:FSUB2B use entity ecp3.FSUB2B(V); end for; + for all:FD1P3BX use entity ecp3.FD1P3BX(V); end for; + for all:FD1P3DX use entity ecp3.FD1P3DX(V); end for; + for all:FD1S3BX use entity ecp3.FD1S3BX(V); end for; + for all:FD1S3DX use entity ecp3.FD1S3DX(V); end for; + for all:INV use entity ecp3.INV(V); end for; + for all:MUX21 use entity ecp3.MUX21(V); end for; + for all:OR2 use entity ecp3.OR2(V); end for; + for all:ROM16X1A use entity ecp3.ROM16X1A(V); end for; + for all:VHI use entity ecp3.VHI(V); end for; + for all:VLO use entity ecp3.VLO(V); end for; + for all:XOR2 use entity ecp3.XOR2(V); end for; + for all:DP16KC use entity ecp3.DP16KC(V); end for; + end for; +end Structure_CON; + +-- synopsys translate_on diff --git a/src/cri_trbnet_dca_bridge.vhd b/src/cri_trbnet_dca_bridge.vhd new file mode 100644 index 0000000..44f50cb --- /dev/null +++ b/src/cri_trbnet_dca_bridge.vhd @@ -0,0 +1,662 @@ +LIBRARY IEEE; +USE IEEE.std_logic_1164.ALL; +USE IEEE.numeric_std.ALL; +USE IEEE.std_logic_UNSIGNED.ALL; + +library work; +use work.trb_net_std.all; +use work.trb_net_components.all; + +-- just for simulation purpose +use work.wishbone_pkg.all; + +entity cri_trbnet_dca_bridge is + generic ( + SLOWCTRL_BUFFER_SIZE : integer range 1 to 4 := 2 + ); + port ( + CLK : in std_logic; -- system clock + RESET : in std_logic; + CLK_DCA : in std_logic; -- 40 MHz clock of DCA + + -- DCA INTERFACE + WB_SLAVE_IN : in t_wishbone_slave_in; + WB_SLAVE_OUT : out t_wishbone_slave_out; + + -- protocol specific ports + DCA_CLK_IN : in std_logic; -- not used but historically there + DCA_INIT_DATAREADY_OUT : out std_logic; + DCA_INIT_DATA_OUT : out std_logic_vector(15 downto 0); + DCA_INIT_PACKET_NUM_OUT : out std_logic_vector(2 downto 0); + DCA_INIT_READ_IN : in std_logic; + + DCA_REPLY_DATAREADY_IN : in std_logic; + DCA_REPLY_DATA_IN : in std_logic_vector(15 downto 0); + DCA_REPLY_PACKET_NUM_IN : in std_logic_vector(2 downto 0); + DCA_REPLY_READ_OUT : out std_logic; + DCA_BUSY_IN : in std_logic; + + MAKE_RESET_OUT : out std_logic; + CFG_MAX_REPLY_SIZE_IN : in std_logic_vector(31 downto 0); + + DEBUG_OUT : out std_logic_vector(63 downto 0) + ); +end entity cri_trbnet_dca_bridge; + +architecture RTL of cri_trbnet_dca_bridge is + +attribute syn_encoding : string; + +type dissect_states is (IDLE, GET_DCA_DATA, WAIT_FOR_HUB, LOAD_TO_HUB, WAIT_FOR_RESPONSE, SAVE_RESPONSE, CHECK_RESPONSE, LOAD_FRAME, WAIT_FOR_LOAD, CLEANUP); +signal dissect_current_state, dissect_next_state : dissect_states; +attribute syn_encoding of dissect_current_state: signal is "onehot"; + +type stats_states is (IDLE, LOAD_RECEIVED, LOAD_REPLY, CLEANUP); +signal stats_current_state, stats_next_state : stats_states; +attribute syn_encoding of stats_current_state : signal is "onehot"; + +signal saved_target_ip : std_logic_vector(31 downto 0); +signal data_ctr : integer range 0 to 30; + + +signal stat_data_temp : std_logic_vector(31 downto 0); +signal rec_frames : std_logic_vector(15 downto 0); + +signal rx_fifo_q : std_logic_vector(16 downto 0); +signal rx_fifo_wr, rx_fifo_rd : std_logic; +signal tx_eod, rx_eod : std_logic; + +signal tx_fifo_q : std_logic_vector(31 downto 0); +signal tx_fifo_wr, tx_fifo_rd : std_logic; +signal tx_fifo_reset : std_logic; +signal dca_reply_read : std_logic; +signal dca_init_dataready : std_logic; +signal dca_init_dataready_q : std_logic; + +signal tx_data_ctr : std_logic_vector(15 downto 0); +signal tx_loaded_ctr : std_logic_vector(15 downto 0); +signal tx_frame_loaded : std_logic_vector(15 downto 0); + +signal packet_num : std_logic_vector(2 downto 0); + +signal init_ctr : std_logic_vector(15 downto 0); +signal rx_empty, tx_empty : std_logic; + +signal rx_full, tx_full : std_logic; + +signal size_left : std_logic_vector(15 downto 0); + +signal reset_detected : std_logic := '0'; +signal make_reset : std_logic := '0'; + + +signal fifo_rd_q : std_logic; + +signal too_much_data : std_logic; + +signal rx_fifo_data : std_logic_vector(33 downto 0); +signal tx_fifo_data : std_logic_vector(15 downto 0); + +signal tc_wr : std_logic; +signal state : std_logic_vector(3 downto 0); +signal saved_hdr_ctr : std_logic_vector(3 downto 0); + +attribute syn_preserve : boolean; +attribute syn_keep : boolean; +attribute syn_keep of rx_fifo_wr, rx_fifo_rd, dca_init_dataready, tx_fifo_wr, tx_fifo_rd, dca_reply_read, state : signal is true; +attribute syn_preserve of rx_fifo_wr, rx_fifo_rd, dca_init_dataready, tx_fifo_wr, tx_fifo_rd, dca_reply_read, state : signal is true; + +signal rx_cnt, tx_cnt : std_logic_vector(15 downto 0); + +-- needed for CRI: +-- FIFOs: +-- * fifo_2kx34x17_wcnt (no output register) + + +signal rx_data_wr, last_rx_data_wr, rx_data_wr_sync : std_logic; +signal rx_data_dca, rx_data_dca_sync : std_logic_vector(33 downto 0); + +signal tx_rd_ack, last_tx_rd_ack, tx_rd_ack_sync : std_logic; +signal tx_data : std_logic_vector(31 downto 0); + +signal tx_rd_size_ack, last_tx_rd_size_ack, tx_rd_size_ack_sync : std_logic; + +signal WB_RESPONSE_READY : std_logic; +signal tx_data_size_dca : std_logic_vector(15 downto 0); + +signal preload_word_tx_fifo : std_logic; + +begin + +-- from wishbone: +-- rx_data_wr -> both delayed by one clk_cyc to rearrange data. +-- rx_data_dca + THE_DCA_HANDLER : entity work.cri_trbnet_dca_bridge_handler + port map ( + CLK => CLK, + RESET => RESET, + CLK_DCA => CLK_DCA, + + -- DCA INTERFACE + WB_SLAVE_IN => WB_SLAVE_IN, + WB_SLAVE_OUT => WB_SLAVE_OUT, + + -- protocol specific ports + RX_DATA_OUT => rx_data_dca, + RX_DATA_RDY => rx_data_wr, + + TX_READ_ACK => tx_rd_ack, + TX_DATA_IN => tx_fifo_q, + + TX_DATA_SIZE => tx_data_size_dca, + TX_READ_SIZE_ACK => tx_rd_size_ack, + + + --WB_RESPONSE_READY_IN => WB_RESPONSE_READY, + + DEBUG_OUT => open + ); + + +MAKE_RESET_OUT <= make_reset; + +-- change to 33x17 +-- 34bit: MSB is used to indicate end of transmission. Maybe implemented by write to separate register? +receive_fifo : entity work.fifo_2kx34x17_wcnt + port map ( + Reset => RESET, + RPReset => RESET, + WrClock => CLK, + RdClock => CLK, + Data => rx_fifo_data, + WrEn => rx_fifo_wr, + RdEn => rx_fifo_rd, + Q => rx_fifo_q, + Full => rx_full, + Empty => rx_empty, + WCNT => rx_cnt(11 downto 0) + ); + + +THE_SYNC_RX_DATA : entity work.signal_sync + generic map( + WIDTH => 34, + DEPTH => 4 + ) + port map( + RESET => RESET, + CLK0 => CLK_DCA, + CLK1 => CLK, + + D_IN => rx_data_dca, + D_OUT => rx_data_dca_sync + ); + + THE_SYNC_RX_STB : entity work.pulse_sync + port map( + RESET_A_IN => RESET, + CLK_A_IN => CLK_DCA, + PULSE_A_IN => rx_data_wr, + + RESET_B_IN => RESET, + CLK_B_IN => CLK, + PULSE_B_OUT => rx_data_wr_sync + ); + + THE_SYNC_TX_RD_ACK : entity work.pulse_sync + port map( + RESET_A_IN => RESET, + CLK_A_IN => CLK_DCA, + PULSE_A_IN => tx_rd_ack, + + RESET_B_IN => RESET, + CLK_B_IN => CLK, + PULSE_B_OUT => tx_rd_ack_sync + ); + + THE_SYNC_TX_SIZE_ACK : entity work.pulse_sync + port map( + RESET_A_IN => RESET, + CLK_A_IN => CLK_DCA, + PULSE_A_IN => tx_rd_size_ack, + + RESET_B_IN => RESET, + CLK_B_IN => CLK, + PULSE_B_OUT => tx_rd_size_ack_sync + ); + + +-- first 16 bit of full received data stream is not saved in rx_fifo +-- (should be htons(0x0100 | udp_command_index); in libtrbcmd). +-- bit 15:8 is written to TX fifo. +-- All following bits are stored in RX fifo (AW) +RX_FIFO_WR_SYNC : process(CLK) +begin +-- implement additional sign for last word + if rising_edge(CLK) then + if (rx_data_wr_sync = '1' and (saved_hdr_ctr = "0010" or saved_hdr_ctr = "0100" or saved_hdr_ctr = "1000")) then + rx_fifo_wr <= '1'; + else + rx_fifo_wr <= '0'; + end if; + rx_fifo_data <= rx_data_dca_sync;--PS_DATA_IN; + end if; +end process RX_FIFO_WR_SYNC; + +SAVED_HDR_CTR_PROC : process(CLK) +begin + if rising_edge(CLK) then + if (dissect_current_state = IDLE and rx_data_wr_sync = '0') then + saved_hdr_ctr <= "0001"; + elsif (rx_data_wr_sync = '1' and saved_hdr_ctr /= "1000") then + saved_hdr_ctr(3 downto 0) <= saved_hdr_ctr(2 downto 0) & '0'; + else + saved_hdr_ctr <= saved_hdr_ctr; + end if; + end if; +end process SAVED_HDR_CTR_PROC; + + +--TODO: change to synchronous +rx_fifo_rd <= '1' when (dca_init_dataready = '1' and dissect_current_state = LOAD_TO_HUB and rx_fifo_q(16) = '0') or + (dca_init_dataready = '1' and dissect_current_state = WAIT_FOR_HUB and DCA_INIT_READ_IN = '1') or + (dissect_current_state = GET_DCA_DATA and rx_data_dca_sync(16) = '1') or -- end of data flag + (dissect_current_state = GET_DCA_DATA and rx_data_dca_sync(33) = '1') -- end of data flag + else '0'; -- preload first word + +DCA_INIT_PREP_PROC : process +begin + wait until rising_edge(CLK); + + DCA_INIT_DATA_OUT <= rx_fifo_q(15 downto 0); + + DCA_INIT_PACKET_NUM_OUT <= packet_num; + DCA_INIT_DATAREADY_OUT <= dca_init_dataready; + if (DCA_INIT_READ_IN = '1' and dissect_current_state = LOAD_TO_HUB and rx_fifo_q(16) = '0') or + (dissect_current_state = WAIT_FOR_HUB) + then + dca_init_dataready <= '1'; + else + dca_init_dataready <= '0'; + end if; +end process; + +PACKET_NUM_PROC : process(CLK) +begin + if rising_edge(CLK) then + if (dissect_current_state = IDLE) then + packet_num <= "100"; + elsif (DCA_INIT_READ_IN = '1' and rx_fifo_rd = '1' and packet_num = "100") then + packet_num <= "000"; + elsif (rx_fifo_rd = '1' and packet_num /= "100") then + packet_num <= packet_num + "1"; + end if; + end if; +end process PACKET_NUM_PROC; + + +-- DCA_INIT_PREP_PROC_NEW : process +-- begin +-- wait until rising_edge(CLK); +-- DCA_INIT_DATA_OUT <= rx_fifo_q(15 downto 0); +-- rx_fifo_rd <= '0'; +-- +-- if (dissect_current_state = GET_DCA_DATA and rx_data_dca_sync(16) = '1') or -- end of data flag +-- (dissect_current_state = GET_DCA_DATA and rx_data_dca_sync(33) = '1') +-- then +-- rx_fifo_rd <= '1'; +-- +-- elsif (dca_init_dataready = '1' and dissect_current_state = LOAD_TO_HUB and rx_fifo_q(16) = '0') or +-- (dca_init_dataready = '1' and dissect_current_state = WAIT_FOR_HUB and DCA_INIT_READ_IN = '1') +-- then +-- rx_fifo_rd <= '1'; +-- end if; +-- +-- if (DCA_INIT_READ_IN = '1' and dissect_current_state = LOAD_TO_HUB and rx_fifo_q(16) = '0') or +-- (dissect_current_state = WAIT_FOR_HUB) -- for preloaded bits +-- then +-- dca_init_dataready <= '1'; +-- else +-- dca_init_dataready <= '0'; +-- end if; +-- +-- if (dissect_current_state = IDLE) then +-- packet_num <= "100"; +-- elsif (rx_fifo_rd = '1' and packet_num = "100") then +-- packet_num <= "000"; +-- elsif (rx_fifo_rd = '1' and packet_num /= "100") then +-- packet_num <= packet_num + "1"; +-- end if; +-- end process; +-- DCA_INIT_DATAREADY_OUT <= dca_init_dataready; + + +tf_4k_gen : if SLOWCTRL_BUFFER_SIZE = 1 generate + transmit_fifo : entity work.fifo_4kx16x32_wcnt + port map ( + Reset => tx_fifo_reset, + RPReset => tx_fifo_reset, + WrClock => CLK, + RdClock => CLK_DCA, + Data => tx_fifo_data, + WrEn => tx_fifo_wr, + RdEn => tx_fifo_rd, + Q => tx_fifo_q, + Full => tx_full, + Empty => tx_empty, + WCNT => tx_cnt(11 downto 0) + ); +end generate tf_4k_gen; + +tf_65k_gen : if SLOWCTRL_BUFFER_SIZE = 2 generate + transmit_fifo : entity work.fifo_64kx16x32_wcnt + port map( + Reset => tx_fifo_reset, + RPReset => tx_fifo_reset, + WrClock => CLK, + RdClock => CLK_DCA, + Data => tx_fifo_data, + WrEn => tx_fifo_wr, + RdEn => tx_fifo_rd, + Q => tx_fifo_q, + Full => tx_full, + Empty => tx_empty, + WCNT => tx_cnt + ); +end generate tf_65k_gen; + +TX_FIFO_WR_SYNC : process(CLK) +begin + if rising_edge(CLK) then + if (DCA_REPLY_DATAREADY_IN = '1' and dca_reply_read = '1') then + tx_fifo_wr <= '1'; + elsif rx_data_wr_sync = '1' and (saved_hdr_ctr = "0001") then + tx_fifo_wr <= '1'; + elsif (dissect_current_state = CHECK_RESPONSE) and (tx_data_ctr(1 downto 0) = "10") then -- not a full fifo out width loaded to fifo + tx_fifo_wr <= '1'; + else + tx_fifo_wr <= '0'; + end if; + + if rx_data_wr_sync = '1' and (saved_hdr_ctr = "0001") then + tx_fifo_data <= x"02" & rx_data_dca_sync( 7 downto 0); -- is this the correct address space? nibble order.. + elsif (dissect_current_state = CHECK_RESPONSE) and (tx_data_ctr(1 downto 0) = "10") then -- not a full fifo out width is loaded to fifo + tx_fifo_data <= (others => '0'); -- fill output 31:16 with 0 by adding 0 at input + else + tx_fifo_data <= DCA_REPLY_DATA_IN; + end if; + end if; +end process TX_FIFO_WR_SYNC; + + +TX_FIFO_READ_PROC : process(CLK_DCA) +begin + if rising_edge(CLK_DCA) then + + -- total counter of data transported to frame constructor + if (rx_data_wr = '1') then -- data is only written to this fifo in case of sending. not in transmit process. + tx_loaded_ctr <= x"0000"; + elsif (tx_rd_ack = '1') then + tx_loaded_ctr <= tx_loaded_ctr + x"4"; -- loaded bytes + else + tx_loaded_ctr <= tx_loaded_ctr; + end if; + + -- controls the readout of the fifo. fifo gets the first word already loaded before first readout as only an ack from readout is available. + if (dissect_current_state = WAIT_FOR_LOAD) then + tx_fifo_rd <= '1'; + preload_word_tx_fifo <= '1'; + elsif (tx_rd_ack = '1') then + tx_fifo_rd <= '1'; + preload_word_tx_fifo <= '0'; + else + tx_fifo_rd <= '0'; + preload_word_tx_fifo <= '0'; + end if; + end if; +end process TX_FIFO_READ_PROC; + +TX_FIFO_SYNC_PROC : process(CLK) +begin + if rising_edge(CLK) then + if (RESET = '1') or (too_much_data = '1' and dissect_current_state = CLEANUP) then + tx_fifo_reset <= '1'; +-- elsif (dissect_current_state = CLEANUP and tx_empty = '0') then -- cleanup of fifo needed after last word of transmission is read? (AW) +-- tx_fifo_reset <= '1'; + else + tx_fifo_reset <= '0'; + end if; + end if; +end process TX_FIFO_SYNC_PROC; + + +TX_DATA_SIZE_PROC : process(CLK) +begin + if rising_edge(CLK) then + if (dissect_current_state = CLEANUP) and (reset_detected = '1' or too_much_data = '1') then + tx_data_size_dca <= (others => '0'); + elsif (dissect_current_state = LOAD_FRAME) or (dissect_current_state = CLEANUP) then + tx_data_size_dca <= tx_data_ctr; + else + tx_data_size_dca <= (others => '1'); + end if; + end if; +end process TX_DATA_SIZE_PROC; + + +DCA_REPLY_READ_PROC : process(CLK) +begin + if rising_edge(CLK) then + if (dissect_current_state = WAIT_FOR_RESPONSE or dissect_current_state = SAVE_RESPONSE) then + dca_reply_read <= '1'; + else + dca_reply_read <= '0'; + end if; + end if; +end process DCA_REPLY_READ_PROC; +DCA_REPLY_READ_OUT <= dca_reply_read; + +-- counter of data received from TRBNet hub +TX_DATA_CTR_PROC : process(CLK) +begin + if rising_edge(CLK) then + if (dissect_current_state = IDLE) then + tx_data_ctr <= (others => '0'); + elsif (tx_fifo_wr = '1') then + tx_data_ctr <= tx_data_ctr + x"2"; + end if; + end if; +end process TX_DATA_CTR_PROC; + +TOO_MUCH_DATA_PROC : process(CLK) +begin + if rising_edge(CLK) then + if (dissect_current_state = IDLE) then + too_much_data <= '0'; + elsif (dissect_current_state = SAVE_RESPONSE) and (tx_data_ctr >= CFG_MAX_REPLY_SIZE_IN(15 downto 0)) then + too_much_data <= '1'; + else + too_much_data <= too_much_data; + end if; + end if; +end process TOO_MUCH_DATA_PROC; + + + +-- PS_RESPONSE_SYNC : process(CLK) +-- begin +-- if rising_edge(CLK) then +-- if (too_much_data = '0') then +-- if (dissect_current_state = WAIT_FOR_LOAD or dissect_current_state = LOAD_FRAME or dissect_current_state = CLEANUP) then +-- WB_RESPONSE_READY <= '1'; +-- else +-- WB_RESPONSE_READY <= '0'; +-- end if; +-- end if; +-- +-- -- if (dissect_current_state = IDLE or dissect_current_state = WAIT_FOR_RESPONSE) then +-- -- PS_BUSY_OUT <= '0'; +-- -- else +-- -- PS_BUSY_OUT <= '1'; +-- -- end if; +-- end if; +-- end process PS_RESPONSE_SYNC; + + +--------------------------------------------------------------------- +-- State machine controlling the data handling with hub and DCA +--------------------------------------------------------------------- + +DISSECT_MACHINE_PROC : process(RESET, CLK) +begin + if RESET = '1' then + dissect_current_state <= IDLE; + elsif rising_edge(CLK) then + dissect_current_state <= dissect_next_state; + end if; +end process DISSECT_MACHINE_PROC; + +DISSECT_MACHINE : process(dissect_current_state, reset_detected, too_much_data, rx_data_wr_sync, rx_data_dca_sync, preload_word_tx_fifo, DCA_INIT_READ_IN, DCA_REPLY_DATAREADY_IN, tx_loaded_ctr, tx_rd_size_ack_sync, tx_data_ctr, rx_fifo_q, DCA_BUSY_IN) +begin + state <= x"0"; + + case dissect_current_state is + when IDLE => + state <= x"1"; + if (rx_data_wr_sync = '1') then + dissect_next_state <= GET_DCA_DATA; + else + dissect_next_state <= IDLE; + end if; + + when GET_DCA_DATA => + state <= x"2"; + if (rx_data_dca_sync(33) = '1') or (rx_data_dca_sync(16) = '1') then + dissect_next_state <= WAIT_FOR_HUB; + else + dissect_next_state <= GET_DCA_DATA; + end if; + + when WAIT_FOR_HUB => + state <= x"3"; + if (DCA_INIT_READ_IN = '1') then + dissect_next_state <= LOAD_TO_HUB; + else + dissect_next_state <= WAIT_FOR_HUB; + end if; + + when LOAD_TO_HUB => + state <= x"4"; + if (rx_fifo_q(16) = '1') then + if (reset_detected = '1') then + dissect_next_state <= CLEANUP; + else + dissect_next_state <= WAIT_FOR_RESPONSE; + end if; + else + dissect_next_state <= LOAD_TO_HUB; + end if; + + when WAIT_FOR_RESPONSE => + state <= x"5"; + if (DCA_REPLY_DATAREADY_IN = '1') then + dissect_next_state <= SAVE_RESPONSE; + else + dissect_next_state <= WAIT_FOR_RESPONSE; + end if; + + when SAVE_RESPONSE => + state <= x"6"; + if (DCA_REPLY_DATAREADY_IN = '0' and DCA_BUSY_IN = '0') then + if (too_much_data = '0') then + dissect_next_state <= CHECK_RESPONSE; + else + dissect_next_state <= CLEANUP; + end if; + else + dissect_next_state <= SAVE_RESPONSE; + end if; + + when CHECK_RESPONSE => + state <= x"7"; + dissect_next_state <= WAIT_FOR_LOAD; + + + when WAIT_FOR_LOAD => + state <= x"8"; + if preload_word_tx_fifo = '1' then + dissect_next_state <= LOAD_FRAME; + else + dissect_next_state <= WAIT_FOR_LOAD; + end if; + + when LOAD_FRAME => + state <= x"9"; + if (tx_loaded_ctr = tx_data_ctr) then + dissect_next_state <= CLEANUP; + else + dissect_next_state <= LOAD_FRAME; + end if; + + when CLEANUP => + -- DCA still needs to read last 32bit. so wait for it. In case of too many words, + -- a word length of 0 is read back from DCA, instead of default 0xFFFF. + state <= x"A"; + if (reset_detected = '1' or too_much_data = '1') then -- wait untill DCA reads lenbgth of 0 to realize the end of read. + if tx_rd_size_ack_sync = '1' then + dissect_next_state <= IDLE; + else + dissect_next_state <= CLEANUP; + end if; + else + dissect_next_state <= IDLE; + end if; + + when others => dissect_next_state <= IDLE; + + end case; +end process DISSECT_MACHINE; + +-- reset request packet detection +RESET_DETECTED_PROC : process(CLK) +begin + if rising_edge(CLK) then + if (dissect_current_state = IDLE) then + reset_detected <= '0'; + elsif (rx_data_dca_sync(15 downto 8) = x"80" and rx_data_wr_sync = '1' and saved_hdr_ctr = "0010") then + reset_detected <= '1'; + else + reset_detected <= reset_detected; + end if; + end if; +end process RESET_DETECTED_PROC; + +MAKE_RESET_PROC : process(CLK) +begin + if rising_edge(CLK) then + if (dissect_current_state = IDLE) then + make_reset <= '0'; + elsif (dissect_current_state = CLEANUP and reset_detected = '1') then + make_reset <= '1'; + else + make_reset <= make_reset; + end if; + end if; +end process MAKE_RESET_PROC; + + +process(CLK) +begin + if rising_edge(CLK) then + DEBUG_OUT(0) <= rx_full; + DEBUG_OUT(1) <= rx_empty; + DEBUG_OUT(2) <= tx_full; + DEBUG_OUT(3) <= tx_empty; + DEBUG_OUT( 7 downto 4) <= state; + DEBUG_OUT(23 downto 8) <= rx_cnt; + DEBUG_OUT(39 downto 24) <= tx_cnt; + DEBUG_OUT(63 downto 40) <= (others => '0'); + end if; +end process; + +end architecture RTL; diff --git a/src/cri_trbnet_dca_bridge_handler.vhd b/src/cri_trbnet_dca_bridge_handler.vhd new file mode 100644 index 0000000..6e37bcf --- /dev/null +++ b/src/cri_trbnet_dca_bridge_handler.vhd @@ -0,0 +1,175 @@ +LIBRARY IEEE; +USE IEEE.std_logic_1164.ALL; +USE IEEE.numeric_std.ALL; +USE IEEE.std_logic_UNSIGNED.ALL; + +library work; +use work.trb_net_std.all; + +-- just for simulation purpose +use work.wishbone_pkg.all; + +entity cri_trbnet_dca_bridge_handler is + generic ( + SIMULATION : integer := c_YES + ); + port ( + CLK : in std_logic; -- system clock + RESET : in std_logic; + CLK_DCA : in std_logic; -- 40 MHz clock of DCA + + -- DCA INTERFACE + WB_SLAVE_IN : in t_wishbone_slave_in; + WB_SLAVE_OUT : out t_wishbone_slave_out; + + -- protocol specific ports + RX_DATA_OUT : out std_logic_vector(33 downto 0); + RX_DATA_RDY : out std_logic; + + TX_READ_ACK : out std_logic; + TX_DATA_IN : in std_logic_vector(31 downto 0); + + TX_DATA_SIZE : in std_logic_vector(15 downto 0); -- in byte + TX_READ_SIZE_ACK : out std_logic; + + DEBUG_OUT : out std_logic_vector(63 downto 0) + ); +end entity cri_trbnet_dca_bridge_handler; + +architecture RTL of cri_trbnet_dca_bridge_handler is + +signal data_in_normal : std_logic_vector(31 downto 0); +signal data_in_buf : std_logic_vector(15 downto 0); +signal data_in_normal_stb : std_logic; + +signal data_in_end : std_logic_vector(31 downto 0); +signal data_in_end_stb : std_logic; + +type rx_state_t is (IDLE, PAYLOAD, END_PAYLOAD, FINISH); +signal rx_state : rx_state_t; + +signal rst_n_i : std_logic; + +begin + +-- A register set is needed: +-- 1) A write register (creg) to send requests to TrbNet with stb signal +-- 2) A write register (creg) so send the *LAST* 32 bit of reqeust to (with stb) +-- 3) A register to poll from. The register will contain 0x0000FFFF as long as no data is available. +-- In case data is ready to be read, a value 0x0000 is availbale. the first fifo word is loaded to be ready for read from register. +-- The indicates the length of the following data in *byte*. +-- In case the register reads a 0x00000000, a reset was initiated over trbnet or the bytes to transmit where more than the fifo could handle. +-- (with ack bit) +-- 4) A read register (sreg) to read data from is needed (with ack bit). The data from the TX fifo is directly given to this resgiter. +-- The register value in 3) is set AFTER the first word of the FIFO is read. So it is available, when the first read is done. The ack bit +-- leads to a read of the next word from the fifo. + + +GEN_AGWB_HANDLER_SIM: if SIMULATION = c_YES generate + THE_AGWB_HANDLER_SIM: entity work.agwb_handler_dca_sim + port map ( + CLK_DCA => CLK_DCA, + -- DCA INTERFACE + WB_SLAVE_IN => WB_SLAVE_IN, + WB_SLAVE_OUT => WB_SLAVE_OUT, + + -- protocol specific ports + RX_DATA_MAIN_OUT => data_in_normal, + RX_DATA_MAIN_STB => data_in_normal_stb, + + RX_DATA_END_OUT => data_in_end, + RX_DATA_END_STB => data_in_end_stb, + + TX_DATA_IN => TX_DATA_IN, + TX_READ_ACK => TX_READ_ACK, + + TX_SIZE_DATA(31 downto 16) => (others => '0'), + TX_SIZE_DATA(15 downto 0) => TX_DATA_SIZE, + TX_SIZE_ACK => TX_READ_SIZE_ACK + ); +end generate; + +--GEN_AGWB_HANDLER_REAL: if SIMULATION = c_NO generate +-- trbnetBridge : entity agwb.trbnetBridge +-- generic map ( +-- g_ver_id => v_test_device_ver_id(g_slr) +-- ) +-- port map ( +-- slave_i => WB_SLAVE_IN, +-- slave_o => WB_SLAVE_OUT, +-- +-- RX_DATA_MAIN_o => data_in_normal, +-- RX_DATA_MAIN_o_stb => data_in_normal_stb, +-- +-- RX_DATA_END_o => data_in_end, +-- RX_DATA_END_o_stb => data_in_end_stb, +-- +-- TX_DATA_i => TX_DATA_IN, +-- TX_DATA_i_ack => TX_READ_ACK, +-- +-- TX_SIZE_i(31 downto 16) => (others => '0'), +-- TX_SIZE_i(15 downto 0) => TX_DATA_SIZE, +-- TX_SIZE_i_ack => TX_READ_SIZE_ACK, +-- +-- rst_n_i => rst_n_i, +-- clk_sys_i => CLK_DCA +-- ); +-- end generate; + +rst_n_i <= not RESET; + +THE_RX_FSM : process +begin + wait until rising_edge(CLK_DCA); + + RX_DATA_RDY <= '0'; + + if RESET = '1' then + rx_state <= IDLE; + data_in_buf <= x"0000"; + else + case rx_state is + when IDLE => + if data_in_normal_stb = '1' then + RX_DATA_OUT <= '0' & x"0000" & '0' & data_in_normal(15 downto 0); + data_in_buf <= data_in_normal(31 downto 16); + RX_DATA_RDY <= '1'; + rx_state <= PAYLOAD; + end if; + + when PAYLOAD => + if data_in_end_stb = '1' then + if data_in_end(31 downto 16) = x"0000" then -- empty + RX_DATA_OUT <= '1' & data_in_end(15 downto 0) & '0' & data_in_buf; + rx_state <= FINISH; + else + RX_DATA_OUT <= '0' & data_in_end(15 downto 0) & '0' & data_in_buf; + data_in_buf <= data_in_end(31 downto 16); + rx_state <= END_PAYLOAD; + end if; + RX_DATA_RDY <= '1'; + + elsif data_in_normal_stb = '1' then + RX_DATA_OUT <= '0' & data_in_normal(15 downto 0) & '0' & data_in_buf; + data_in_buf <= data_in_normal(31 downto 16); + RX_DATA_RDY <= '1'; + rx_state <= PAYLOAD; + end if; + + when END_PAYLOAD => + RX_DATA_OUT <= '0' & x"0000" & '1' & data_in_buf; + data_in_buf <= x"0000"; + RX_DATA_RDY <= '1'; + rx_state <= FINISH; + + when FINISH => + rx_state <= IDLE; + + when others => + rx_state <= IDLE; + + end case; + end if; +end process; + +end architecture RTL;