From: hadaq Date: Fri, 9 Jul 2010 08:32:34 +0000 (+0000) Subject: changed LINK_BROKEN_OUT to one cycle pulse, according to Master Jan's wish :-) X-Git-Tag: oldGBE~224 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=99cdfff6ab7e286925282ebb7627c42af193cdb7;p=trbnet.git changed LINK_BROKEN_OUT to one cycle pulse, according to Master Jan's wish :-) --- diff --git a/trb_net16_rx_packets.vhd b/trb_net16_rx_packets.vhd index d60aa37..c2dea73 100755 --- a/trb_net16_rx_packets.vhd +++ b/trb_net16_rx_packets.vhd @@ -27,7 +27,6 @@ port( MAKE_TRBNET_RESET_OUT : out std_logic; -- Status signals LINK_BROKEN_OUT : out std_logic; - CLEAR_STATUS_IN : in std_logic; -- Debug signals BSM_OUT : out std_logic_vector(3 downto 0); DBG_OUT : out std_logic_vector(15 downto 0) @@ -109,7 +108,6 @@ signal ce_toc_x : std_logic; signal ce_toc : std_logic; signal toc_done_x : std_logic; signal toc_done : std_logic; -signal link_broken : std_logic; signal debug : std_logic_vector(15 downto 0); @@ -373,20 +371,6 @@ begin end if; end process THE_SYNC_PROC; ----------------------------------------------------------------------- --- Status bit ----------------------------------------------------------------------- -THE_STATUS_BIT_PROC: process( SYSCLK_IN ) -begin - if( rising_edge(SYSCLK_IN) ) then - if ( CURRENT_STATE = CLEAN ) then - link_broken <= '1'; - elsif( CLEAR_STATUS_IN = '1' ) then - link_broken <= '0'; - end if; - end if; -end process THE_STATUS_BIT_PROC; - ---------------------------------------------------------------------- -- RX packet counter ---------------------------------------------------------------------- @@ -444,7 +428,7 @@ debug(8 downto 0) <= fifo_rcnt_stdlv; ---------------------------------------------------------------------- SEND_RESET_WORDS_OUT <= send_reset_words; MAKE_TRBNET_RESET_OUT <= make_trbnet_reset; -LINK_BROKEN_OUT <= link_broken; +LINK_BROKEN_OUT <= fifo_rst; MED_DATAREADY_OUT <= med_dataready; MED_DATA_OUT <= med_data;