From: Jan Michel Date: Mon, 19 Nov 2018 10:23:16 +0000 (+0100) Subject: TDC version set to 2.4.0 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=9c36e5d5e59059f3fdb2a8c62ca5dd8bccc656b3;p=tdc.git TDC version set to 2.4.0 --- diff --git a/releases/tdc_v2.3/tdc_version.vhd b/releases/tdc_v2.3/tdc_version.vhd index 9cbf33f..c568301 100644 --- a/releases/tdc_v2.3/tdc_version.vhd +++ b/releases/tdc_v2.3/tdc_version.vhd @@ -4,6 +4,6 @@ use ieee.numeric_std.all; package tdc_version is - constant TDC_VERSION : std_logic_vector(11 downto 0) := x"230"; + constant TDC_VERSION : std_logic_vector(11 downto 0) := x"240"; end;