From: Peter Lemmens
Date: Wed, 22 May 2013 14:18:25 +0000 (+0200)
Subject: LDF file added
X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=9c97a8fa2e68338b41850eb83cdb8ccb70065197;p=soda.git
LDF file added
---
diff --git a/soda_source.ldf b/soda_source.ldf
new file mode 100644
index 0000000..9e2ac23
--- /dev/null
+++ b/soda_source.ldf
@@ -0,0 +1,292 @@
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/source/soda_packet_handler.vhd b/source/soda_packet_handler.vhd
index 1a3ffe7..916464c 100644
--- a/source/soda_packet_handler.vhd
+++ b/source/soda_packet_handler.vhd
@@ -11,7 +11,9 @@ port(
CLK_EN : in std_logic;
--Internal Connection
RX_DLM_IN : in std_logic;
- RX_DLM_WORD_IN : in std_logic_vector(7 downto 0) := (others => '0')
+ RX_DLM_WORD_IN : in std_logic_vector(7 downto 0) := (others => '0');
+ TX_DLM_IN : out std_logic;
+ TX_DLM_WORD_IN : out std_logic_vector(7 downto 0) := (others => '0')
);
end soda_packet_handler;