From: Thomas Gessler Date: Fri, 7 Aug 2020 14:51:31 +0000 (+0200) Subject: hub_test: Add hub-data signals with debug attr's X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=a3e7b153eeb876806cdb15ac36db1cd565eb25e4;p=cri.git hub_test: Add hub-data signals with debug attr's --- diff --git a/hub_test/src/hub_test.vhd b/hub_test/src/hub_test.vhd index f77224e..180711c 100644 --- a/hub_test/src/hub_test.vhd +++ b/hub_test/src/hub_test.vhd @@ -129,6 +129,30 @@ architecture behavioral of hub_test is signal mpod_b_txdis : std_logic_vector(11 downto 0); signal mpod_c_txdis : std_logic_vector(11 downto 0); signal mpod_d_txdis : std_logic_vector(11 downto 0); + + signal hub_data_active : std_logic_vector(INTERFACE_NUM - 2 downto 0); + signal hub_data_out : std_logic_vector((INTERFACE_NUM * (2 * c_DATA_WIDTH)) - 2 downto 0); + signal hub_data_ready : std_logic_vector(INTERFACE_NUM - 2 downto 0); + signal hub_data_address_sender : std_logic_vector((INTERFACE_NUM * c_DATA_WIDTH) - 2 downto 0); + signal hub_data_seqnmbr : std_logic_vector((INTERFACE_NUM * 8) - 2 downto 0); + signal hub_data_length : std_logic_vector((INTERFACE_NUM * c_DATA_WIDTH) - 2 downto 0); + + attribute MARK_DEBUG : string; + attribute KEEP : string; + + attribute MARK_DEBUG of hub_data_active : signal is "true"; + attribute MARK_DEBUG of hub_data_out : signal is "true"; + attribute MARK_DEBUG of hub_data_ready : signal is "true"; + attribute MARK_DEBUG of hub_data_address_sender : signal is "true"; + attribute MARK_DEBUG of hub_data_seqnmbr : signal is "true"; + attribute MARK_DEBUG of hub_data_length : signal is "true"; + + attribute KEEP of hub_data_active : signal is "true"; + attribute KEEP of hub_data_out : signal is "true"; + attribute KEEP of hub_data_ready : signal is "true"; + attribute KEEP of hub_data_address_sender : signal is "true"; + attribute KEEP of hub_data_seqnmbr : signal is "true"; + attribute KEEP of hub_data_length : signal is "true"; begin MPOD_RESET_N <= "1111";