From: hadaq Date: Tue, 26 Feb 2013 09:51:02 +0000 (+0000) Subject: excess signal removal - cu X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=a4b73eeabfcb292d635dc03db4e70457bf501d39;p=trb3.git excess signal removal - cu --- diff --git a/tdc_releases/tdc_v1.1.1/BusHandler.vhd b/tdc_releases/tdc_v1.1.1/BusHandler.vhd index 1b4c3c7..d340fb7 100644 --- a/tdc_releases/tdc_v1.1.1/BusHandler.vhd +++ b/tdc_releases/tdc_v1.1.1/BusHandler.vhd @@ -31,13 +31,6 @@ architecture Behavioral of BusHandler is signal data_ready_reg : std_logic; signal unknown_addr_reg : std_logic; - --FSM signals - type FSM is (IDLE, READ_A, WRITE_A); - signal FSM_CURRENT, FSM_NEXT : FSM; - signal fsm_data_out : std_logic_vector(31 downto 0); - signal fsm_data_ready : std_logic; - signal fsm_unknown_addr : std_logic; - begin READ_WRITE_RESPONSE : process (CLK, RESET)