From: Jan Michel Date: Mon, 16 Dec 2019 19:08:08 +0000 (+0100) Subject: fix connection of ETM X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=a848f4e23625e29075b41e171858fa700777b00e;p=trb3sc.git fix connection of ETM --- diff --git a/cts/trb3sc_cts.vhd b/cts/trb3sc_cts.vhd index 878f082..2165cd9 100644 --- a/cts/trb3sc_cts.vhd +++ b/cts/trb3sc_cts.vhd @@ -186,7 +186,7 @@ architecture trb3sc_arch of trb3sc_cts is signal cts_ext_status : std_logic_vector(31 downto 0) := (others => '0'); signal cts_ext_control : std_logic_vector(31 downto 0); signal cts_ext_debug : std_logic_vector(31 downto 0); - signal cts_ext_header : std_logic_vector(1 downto 0); + signal cts_ext_header : std_logic_vector(1 downto 0) := "00"; signal cts_rdo_additional_data : std_logic_vector(32*cts_rdo_additional_ports-1 downto 0); signal cts_rdo_additional_write : std_logic_vector(cts_rdo_additional_ports-1 downto 0) := (others => '0'); @@ -731,9 +731,9 @@ end generate; ADDON_GROUP_SELECTED_OUT => open, EXT_TRIGGER_IN => cts_ext_trigger, - EXT_STATUS_IN => (others => '0'), - EXT_CONTROL_OUT => open, - EXT_HEADER_BITS_IN => (others => '0'), + EXT_STATUS_IN => cts_ext_status, + EXT_CONTROL_OUT => cts_ext_control, + EXT_HEADER_BITS_IN => cts_ext_header, EXT_FORCE_TRIGGER_INFO_IN => (others => '0'), PERIPH_TRIGGER_IN => (others => '0'),