From: Andreas Neiser Date: Tue, 17 Feb 2015 16:29:34 +0000 (+0100) Subject: Counter on data X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=aaf91cd5471f1e21e533b8bb0b20071e16ce766b;p=trb3.git Counter on data --- diff --git a/ADC/sim/adc_serializer.vhd b/ADC/sim/adc_serializer.vhd index 50ef1f1..c2a1825 100644 --- a/ADC/sim/adc_serializer.vhd +++ b/ADC/sim/adc_serializer.vhd @@ -26,12 +26,15 @@ begin ADC_DCO <= ddr_clock; output : process is + variable cnt : unsigned(4 downto 0); begin wait until rising_edge(ddr_clock); - ADC_DATA <= (others => '1'); + ADC_DATA <= std_logic_vector(cnt); + cnt := cnt+1; wait until falling_edge(ddr_clock); - ADC_DATA <= (others => '0'); + ADC_DATA <= std_logic_vector(cnt); + cnt := cnt+1; end process output; end architecture arch;