From: Jan Michel Date: Thu, 8 May 2014 09:36:50 +0000 (+0200) Subject: small clean-up in hub files X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=b106b22f92de55d0277805597cdcb7b369771a15;p=trbnet.git small clean-up in hub files --- diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 66cdbbe..57f2990 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -371,6 +371,9 @@ architecture trb_net16_hub_base_arch of trb_net16_hub_base is attribute syn_keep of m_READ_IN : signal is true; attribute syn_keep of reset_i : signal is true; + + attribute syn_keep of reset_i_mux_io : signal is true; + attribute syn_preserve of reset_i_mux_io : signal is true; attribute syn_hier : string; attribute syn_hier of trb_net16_hub_base_arch : architecture is "firm"; @@ -1425,9 +1428,9 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); HC_STAT_REGS(8*32-1 downto 0) <= buf_HC_STAT_REGS(8*32-1 downto 0); HC_STAT_REGS(36*32-1 downto 16*32) <= buf_HC_STAT_REGS(36*32-1 downto 16*32); - HC_STAT_REGS(64*32-1 downto 39*32) <= buf_HC_STAT_REGS(64*32-1 downto 39*32); end if; end process; + HC_STAT_REGS(64*32-1 downto 39*32) <= buf_HC_STAT_REGS(64*32-1 downto 39*32); ------------------------------------ --STAT error bits diff --git a/trb_net16_hub_streaming_port_sctrl_cts.vhd b/trb_net16_hub_streaming_port_sctrl_cts.vhd index aa2bae8..f21ace2 100644 --- a/trb_net16_hub_streaming_port_sctrl_cts.vhd +++ b/trb_net16_hub_streaming_port_sctrl_cts.vhd @@ -221,15 +221,15 @@ signal hub_reply_packet_num_out : std_logic_vector(15 downto 0); signal hub_init_packet_num_in : std_logic_vector(15 downto 0); signal hub_reply_packet_num_in : std_logic_vector(15 downto 0); -signal cts_init_data_out : std_logic_vector(15 downto 0); -signal cts_init_dataready_out : std_logic; -signal cts_init_packet_num_out : std_logic_vector(2 downto 0); -signal cts_init_read_in : std_logic; +-- signal cts_init_data_out : std_logic_vector(15 downto 0); +-- signal cts_init_dataready_out : std_logic; +-- signal cts_init_packet_num_out : std_logic_vector(2 downto 0); +-- signal cts_init_read_in : std_logic; -signal cts_reply_data_in : std_logic_vector(15 downto 0); -signal cts_reply_dataready_in : std_logic; -signal cts_reply_packet_num_in : std_logic_vector(2 downto 0); -signal cts_reply_read_out : std_logic; +-- signal cts_reply_data_in : std_logic_vector(15 downto 0); +-- signal cts_reply_dataready_in : std_logic; +-- signal cts_reply_packet_num_in : std_logic_vector(2 downto 0); +-- signal cts_reply_read_out : std_logic; signal common_ctrl : std_logic_vector(std_COMCTRLREG*32-1 downto 0); signal common_stat : std_logic_vector(std_COMSTATREG*32-1 downto 0); @@ -237,15 +237,15 @@ signal common_ctrl_strobe : std_logic_vector(std_COMCTRLREG-1 downto 0); signal common_stat_strobe : std_logic_vector(std_COMSTATREG-1 downto 0); signal my_address : std_logic_vector(15 downto 0); -signal io_dataready_out : std_logic_vector(7 downto 0); -signal io_data_out : std_logic_vector(127 downto 0); -signal io_packet_num_out : std_logic_vector(23 downto 0); -signal io_read_in : std_logic_vector(7 downto 0); - -signal io_dataready_in : std_logic_vector(3 downto 0); -signal io_read_out : std_logic_vector(3 downto 0); -signal io_data_in : std_logic_vector(4*16-1 downto 0); -signal io_packet_num_in : std_logic_vector(4*3-1 downto 0); +-- signal io_dataready_out : std_logic_vector(7 downto 0); +-- signal io_data_out : std_logic_vector(127 downto 0); +-- signal io_packet_num_out : std_logic_vector(23 downto 0); +-- signal io_read_in : std_logic_vector(7 downto 0); +-- +-- signal io_dataready_in : std_logic_vector(3 downto 0); +-- signal io_read_out : std_logic_vector(3 downto 0); +-- signal io_data_in : std_logic_vector(4*16-1 downto 0); +-- signal io_packet_num_in : std_logic_vector(4*3-1 downto 0); signal reset_i : std_logic; @@ -253,7 +253,7 @@ signal HUB_MED_CTRL_OP : std_logic_vector(mii*16-1 downto 0); signal reset_i_mux_io : std_logic; signal hub_make_network_reset : std_logic; -signal hub_got_network_reset : std_logic; +-- signal hub_got_network_reset : std_logic; signal timer_ticks : std_logic_vector(1 downto 0); signal hub_ctrl_debug : std_logic_vector(31 downto 0); signal buf_HUB_STAT_GEN : std_logic_vector(31 downto 0); @@ -284,12 +284,12 @@ signal lvl1_invalid_i : std_logic; signal lvl1_data_valid_i : std_logic; signal reset_ipu_i : std_logic; -signal int_spike_detected : std_logic; -signal int_lvl1_spurious_trg : std_logic; -signal int_lvl1_timeout_detected : std_logic; -signal int_multiple_trg : std_logic; -signal int_lvl1_missing_tmg_trg : std_logic; -signal int_lvl1_long_trg : std_logic; +-- signal int_spike_detected : std_logic; +-- signal int_lvl1_spurious_trg : std_logic; +-- signal int_lvl1_timeout_detected : std_logic; +-- signal int_multiple_trg : std_logic; +-- signal int_lvl1_missing_tmg_trg : std_logic; +-- signal int_lvl1_long_trg : std_logic; signal int_trigger_num : std_logic_vector(15 downto 0); signal int_lvl1_delay : std_logic_vector(15 downto 0); signal stat_lvl1_handler : std_logic_vector(63 downto 0); @@ -1009,17 +1009,18 @@ begin lvl1_trg_type, lvl1_trg_number, lvl1_trg_code, stat_counters_lvl1_handler, int_trigger_num) begin + common_stat(47 downto 0) <= (others => '0'); + common_stat(std_COMSTATREG*32-1 downto 64) <= (others => '0'); + common_stat(4) <= stat_lvl1_handler(12); common_stat(13) <= stat_lvl1_handler(7); common_stat(47 downto 32) <= int_trigger_num; common_stat(127 downto 64) <= stat_lvl1_handler; - common_stat(159 downto 128) <= (others => '0'); common_stat(175 downto 160) <= lvl1_trg_information(15 downto 0); common_stat(179 downto 176) <= lvl1_trg_type; common_stat(183 downto 180) <= lvl1_trg_number(3 downto 0); common_stat(191 downto 184) <= lvl1_trg_code; common_stat(271 downto 192) <= stat_counters_lvl1_handler; - common_stat(287 downto 272) <= (others => '0'); end process; process(CLK)