From: Ingo Froehlich Date: Thu, 24 Aug 2017 16:15:01 +0000 (+0200) Subject: added generic flash ctrl, IF X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=b2126b648554ce6b452981fb755456971f3542a8;p=logicbox.git added generic flash ctrl, IF --- diff --git a/default/logicbox.vhd b/default/logicbox.vhd index b59dea1..bfe059b 100644 --- a/default/logicbox.vhd +++ b/default/logicbox.vhd @@ -41,6 +41,13 @@ architecture arch of logicbox is --UART ------------------------------------- + signal uart_data_out : std_logic_vector(31 downto 0); + signal uart_data_in : std_logic_vector(31 downto 0); + signal uart_addr_out : std_logic_vector(7 downto 0); + signal uart_read_out : std_logic := '0'; + signal uart_write_out : std_logic := '0'; + signal uart_ready_in : std_logic; + signal uart_rx_data : std_logic_vector(31 downto 0); signal uart_tx_data : std_logic_vector(31 downto 0); signal uart_addr : std_logic_vector(7 downto 0); @@ -72,14 +79,14 @@ architecture arch of logicbox is --UFM ------------------------------------- - signal ufm_cmd : std_logic := '0'; --CMD=0 => Read; CMD=1 => Write - signal ufm_go : std_logic := '1'; --load default values to registers from UFM at startup - signal ufm_data_in : std_logic_vector(7 downto 0); --directly connected to flashram DataInA - signal ufm_data_out : std_logic_vector(7 downto 0); --directly connected to flashram QB - signal ufm_databyte_counter : unsigned(14 downto 0); - signal ufm_bus_ready_out : std_logic; - signal ufm_bus_ready_in : std_logic; - signal ufm_busy : std_logic; + --signal ufm_cmd : std_logic := '0'; --CMD=0 => Read; CMD=1 => Write + --signal ufm_go : std_logic := '1'; --load default values to registers from UFM at startup + --signal ufm_data_in : std_logic_vector(7 downto 0); --directly connected to flashram DataInA + --signal ufm_data_out : std_logic_vector(7 downto 0); --directly connected to flashram QB + --signal ufm_databyte_counter : unsigned(14 downto 0); + --signal ufm_bus_ready_out : std_logic; + --signal ufm_bus_ready_in : std_logic; + --signal ufm_busy : std_logic; component OSCH @@ -268,12 +275,13 @@ THE_UART : entity work.uart_sctrl UART_RX => TX_IN, UART_TX => RX_OUT, - DATA_OUT => uart_rx_data, - DATA_IN => uart_tx_data, - ADDR_OUT => uart_addr, - WRITE_OUT => bus_write, - READ_OUT => bus_read, - READY_IN => bus_ready, + DATA_OUT => uart_data_out, + DATA_IN => uart_data_in, + ADDR_OUT => uart_addr_out, + WRITE_OUT => uart_write_out, + READ_OUT => uart_read_out, + READY_IN => uart_ready_in, + --READY_IN => '1', DEBUG => open ); @@ -281,30 +289,53 @@ THE_UART : entity work.uart_sctrl --------------------------------------------------------------------------- -- UFM (FLASH) CONTROLLER --------------------------------------------------------------------------- -THE_UFM : entity UFM_control +--THE_UFM : entity UFM_control +-- generic map( +-- NO_DATAPAGES => 1, +-- UFM_STARTPAGE => "00"&x"00" +-- ) +-- port map( +-- CLK => clk_33, +-- CMD => ufm_cmd, --CMD=0 => Read; CMD=1 => Write +-- GO => ufm_go, +-- BUSY => ufm_busy, +-- RESET => '0', +-- DATA_IN => ufm_data_in, +-- DATA_OUT => ufm_data_out, +-- DATABYTE_COUNTER => ufm_databyte_counter, +-- BUS_READY_IN => ufm_bus_ready_in, +-- BUS_READY_OUT => ufm_bus_ready_out, +-- FLASH_ERROR => open--ufmflasherror +-- ); + +THE_FLASH_CONTROLLER : entity generic_flash_ctrl generic map( - NO_DATAPAGES => 1, - UFM_STARTPAGE => "00"&x"00" + DATA_BUS_WIDTH => 32 ) port map( - CLK => clk_33, - CMD => ufm_cmd, --CMD=0 => Read; CMD=1 => Write - GO => ufm_go, - BUSY => ufm_busy, + CLK => clk_33, RESET => '0', - - DATA_IN => ufm_data_in, - DATA_OUT => ufm_data_out, - DATABYTE_COUNTER => ufm_databyte_counter, - BUS_READY_IN => ufm_bus_ready_in, - BUS_READY_OUT => ufm_bus_ready_out, - FLASH_ERROR => open--ufmflasherror - ); - + SPI_DATA_IN => uart_data_out, + SPI_DATA_OUT => uart_data_in, + SPI_ADDR_IN => uart_addr_out, + SPI_WRITE_IN => uart_write_out, + SPI_READ_IN => uart_read_out, + SPI_READY_OUT => uart_ready_in, + + LOC_DATA_OUT => uart_rx_data, + LOC_DATA_IN => uart_tx_data, + LOC_ADDR_OUT => uart_addr, + LOC_WRITE_OUT => bus_write, + LOC_READ_OUT => bus_read, + LOC_READY_IN => bus_ready + + ); + + --------------------------------------------------------------------------- --- READ/WRITE REGISTERS VIA UART/FLASH +-- Read/WRITE REGISTERS VIA UART/FLASH --------------------------------------------------------------------------- PROC_REGS : process begin wait until rising_edge(clk_33); @@ -312,7 +343,7 @@ PROC_REGS : process begin --register <=> UART datatransfer ------------------------------------------------------------------- bus_ready <= '0'; - ufm_go <= '0'; --for operating UFM_control +-- ufm_go <= '0'; --for operating UFM_control if bus_read = '1' then --send out data from registers over RS232 @@ -331,15 +362,15 @@ PROC_REGS : process begin elsif bus_write = '1' then --write registers with data from received from RS232 case uart_addr is - when x"02" => if uart_rx_data = x"00000000" and ufm_busy = '0' then +-- when x"02" => if uart_rx_data = x"00000000" and ufm_busy = '0' then --initiate load from UFM - ufm_cmd <= '0'; - ufm_go <= '1'; - elsif uart_rx_data = x"FFFFFFFF" and ufm_busy = '0' then - --initiate write to UFM - ufm_cmd <= '1'; - ufm_go <= '1'; - end if; +-- ufm_cmd <= '0'; +-- ufm_go <= '1';- +-- elsif uart_rx_data = x"FFFFFFFF" and ufm_busy = '0' then +-- --initiate write to UFM +-- ufm_cmd <= '1'; +-- ufm_go <= '1'; +-- end if; when x"10" => reg <= uart_rx_data; @@ -359,53 +390,53 @@ PROC_REGS : process begin --register <=> UFM datatransfer ------------------------------------------------------------------- - ufm_bus_ready_in <= '0'; +-- ufm_bus_ready_in <= '0'; - if ufm_cmd = '0' and ufm_bus_ready_out = '1' then +-- if ufm_cmd = '0' and ufm_bus_ready_out = '1' then --copy data from UFM to registers - ufm_bus_ready_in <= '1'; - case to_integer(ufm_databyte_counter) is - when 0 => reg(7 downto 0) <= ufm_data_out; - when 1 => reg(15 downto 8) <= ufm_data_out; - when 2 => reg(23 downto 16) <= ufm_data_out; - when 3 => reg(31 downto 24) <= ufm_data_out; +-- ufm_bus_ready_in <= '1'; +-- case to_integer(ufm_databyte_counter) is +-- when 0 => reg(7 downto 0) <= ufm_data_out; +-- when 1 => reg(15 downto 8) <= ufm_data_out; +-- when 2 => reg(23 downto 16) <= ufm_data_out; +-- when 3 => reg(31 downto 24) <= ufm_data_out; - when 4 => pulser_periodlength(7 downto 0) <= ufm_data_out; - when 5 => pulser_periodlength(15 downto 8) <= ufm_data_out; - when 6 => pulser_periodlength(23 downto 16) <= ufm_data_out; - when 7 => pulser_periodlength(27 downto 24) <= ufm_data_out(3 downto 0); +-- when 4 => pulser_periodlength(7 downto 0) <= ufm_data_out; +-- when 5 => pulser_periodlength(15 downto 8) <= ufm_data_out; +-- when 6 => pulser_periodlength(23 downto 16) <= ufm_data_out; +-- when 7 => pulser_periodlength(27 downto 24) <= ufm_data_out(3 downto 0); - when 8 => pulser_pulslength(7 downto 0) <= ufm_data_out; - when 9 => pulser_pulslength(15 downto 8) <= ufm_data_out; - when 10 => pulser_pulslength(23 downto 16) <= ufm_data_out; - when 11 => pulser_pulslength(27 downto 24) <= ufm_data_out(3 downto 0); +-- when 8 => pulser_pulslength(7 downto 0) <= ufm_data_out; +-- when 9 => pulser_pulslength(15 downto 8) <= ufm_data_out; +-- when 10 => pulser_pulslength(23 downto 16) <= ufm_data_out; +-- when 11 => pulser_pulslength(27 downto 24) <= ufm_data_out(3 downto 0); - when others =>null; - end case; +-- when others =>null; +-- end case; - elsif ufm_cmd = '1' and ufm_bus_ready_out = '1' then +-- elsif ufm_cmd = '1' and ufm_bus_ready_out = '1' then --save data from registers to UFM - ufm_bus_ready_in <= '1'; - case to_integer(ufm_databyte_counter) is - when 0 => ufm_data_in <= reg(7 downto 0); - when 1 => ufm_data_in <= reg(15 downto 8); - when 2 => ufm_data_in <= reg(23 downto 16); - when 3 => ufm_data_in <= reg(31 downto 24); - - when 4 => ufm_data_in <= pulser_periodlength(7 downto 0); - when 5 => ufm_data_in <= pulser_periodlength(15 downto 8); - when 6 => ufm_data_in <= pulser_periodlength(23 downto 16); - when 7 => ufm_data_in <= x"0" & std_logic_vector(pulser_periodlength(27 downto 24)); +-- ufm_bus_ready_in <= '1'; +-- case to_integer(ufm_databyte_counter) is +-- when 0 => ufm_data_in <= reg(7 downto 0); +-- when 1 => ufm_data_in <= reg(15 downto 8); +-- when 2 => ufm_data_in <= reg(23 downto 16); +-- when 3 => ufm_data_in <= reg(31 downto 24); + +-- when 4 => ufm_data_in <= pulser_periodlength(7 downto 0); +-- when 5 => ufm_data_in <= pulser_periodlength(15 downto 8); +-- when 6 => ufm_data_in <= pulser_periodlength(23 downto 16); +-- when 7 => ufm_data_in <= x"0" & std_logic_vector(pulser_periodlength(27 downto 24)); - when 8 => ufm_data_in <= pulser_pulslength(7 downto 0); - when 9 => ufm_data_in <= pulser_pulslength(15 downto 8); - when 10 => ufm_data_in <= pulser_pulslength(23 downto 16); - when 11 => ufm_data_in <= x"0" & std_logic_vector(pulser_pulslength(27 downto 24)); +-- when 8 => ufm_data_in <= pulser_pulslength(7 downto 0); +-- when 9 => ufm_data_in <= pulser_pulslength(15 downto 8); +-- when 10 => ufm_data_in <= pulser_pulslength(23 downto 16); +-- when 11 => ufm_data_in <= x"0" & std_logic_vector(pulser_pulslength(27 downto 24)); - when others =>null; - end case; +-- when others =>null; +-- end case; - end if; +-- end if; end process;