From: Benedikt Gutsche Date: Mon, 3 Jun 2024 13:30:21 +0000 (+0200) Subject: changed hdmi ports X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=b3ab4e8abf9b5cf0eb37418d0570960c31a6d0d5;p=trb5sc.git changed hdmi ports --- diff --git a/mimosis/config.vhd b/mimosis/config.vhd index 5374934..cd1c9d3 100644 --- a/mimosis/config.vhd +++ b/mimosis/config.vhd @@ -53,7 +53,7 @@ package config is constant INCLUDE_SPI : integer := c_NO; constant INCLUDE_ADC : integer := c_YES; constant INCLUDE_I2C : integer := c_YES; - constant INCLUDE_DEBUG_INTERFACE: integer := c_NO; + constant INCLUDE_DEBUG_INTERFACE: integer := c_YES; --input monitor and trigger generation logic constant INCLUDE_TRIGGER_LOGIC : integer := c_NO; diff --git a/mimosis/par.p2t b/mimosis/par.p2t index f989847..2ce0381 100644 --- a/mimosis/par.p2t +++ b/mimosis/par.p2t @@ -4,7 +4,7 @@ #-m nodelist.txt # Controlled by the compile.pl script. #-n 1 # Controlled by the compile.pl script. -s 10 --t 6 +-t 10 -c 2 -e 2 -i 10 diff --git a/mimosis/trb5sc_mimosis.prj b/mimosis/trb5sc_mimosis.prj index df0bfb1..d9a4295 100644 --- a/mimosis/trb5sc_mimosis.prj +++ b/mimosis/trb5sc_mimosis.prj @@ -131,6 +131,8 @@ add_file -vhdl -lib work "../../trbnet/trb_net16_addresses.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/med_sync_define.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/rx_control.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/tx_control.vhd" +add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/main_rx_reset_RS.vhd" +add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/main_tx_reset_RS.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/rx_reset_fsm.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/tx_reset_fsm.vhd" add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/sci_reader.vhd" diff --git a/mimosis/trb5sc_mimosis.vhd b/mimosis/trb5sc_mimosis.vhd index 1f7e0ce..9814b66 100644 --- a/mimosis/trb5sc_mimosis.vhd +++ b/mimosis/trb5sc_mimosis.vhd @@ -42,7 +42,7 @@ entity trb5sc_mimosis is RJ : inout std_logic_vector(3 downto 0); H1 : inout std_logic_vector(4 downto 0); H2 : inout std_logic_vector(4 downto 0); - H3 : inout std_logic_vector(4 downto 0); + H3 : inout std_logic_vector(3 downto 0); H4 : inout std_logic_vector(4 downto 0); H5 : inout std_logic_vector(3 downto 0); H6 : inout std_logic_vector(4 downto 0); @@ -200,8 +200,12 @@ begin CLKOS3=> clk_80 ); - H5(3) <= clk_320; - RJ(0) <= clk_40; + H3(3) <= clk_320; + + -- For IPHC Proxy + -- RJ(0) <= clk_40; + -- For IKF Proxy + H1(4) <= clk_40; --------------------------------------------------------------------------- -- TrbNet Uplink @@ -210,7 +214,7 @@ begin THE_MEDIA_INTERFACE : entity work.med_ecp5_sfp_sync generic map( SERDES_NUM => SERDES_NUM, - USE_NEW_ECP5_RESET => 0, + USE_NEW_ECP5_RESET => 1, IS_SYNC_SLAVE => c_YES ) port map( @@ -330,7 +334,7 @@ begin BUS_RX(2) => bustc_rx, --Clock switch BUS_RX(3) => busmimosis_rx, BUS_RX(4) => busi2c_rx, - BUS_RX(5) => busgbtcore_rx, + -- BUS_RX(5) => busgbtcore_rx, -- BUS_RX(5) => busgbeip_rx, -- BUS_RX(6) => busgbereg_rx, -- BUS_RX(7) => busfwd_rx, @@ -339,7 +343,7 @@ begin BUS_TX(2) => bustc_tx, BUS_TX(3) => busmimosis_tx, BUS_TX(4) => busi2c_tx, - BUS_TX(5) => busgbtcore_tx, + -- BUS_TX(5) => busgbtcore_tx, -- BUS_TX(5) => busgbeip_tx, -- BUS_TX(6) => busgbereg_tx, -- BUS_TX(7) => busfwd_tx, @@ -377,6 +381,8 @@ begin --HEADER_IO => open, HEADER_IO(7) => HDR_IO(6), HEADER_IO(8) => HDR_IO(7), + HEADER_IO(9) => HDR_IO(8), -- 8, RX + HEADER_IO(10) => HDR_IO(9), -- 9, TX ADDITIONAL_REG => add_reg, --ADC ADC_CS => ADC_NCS, @@ -407,6 +413,8 @@ begin -- COMMON_SDA(6) <= '0' when (add_reg(31) = '1') else 'Z'; -- COMMON_SCL(7) <= '0' when (add_reg(30) = '1') else 'Z'; + PIN(5) <= '0' when (add_reg(30) = '0') else 'Z'; + FLASH_HOLD <= '1'; FLASH_WP <= '1'; @@ -449,7 +457,7 @@ begin MIMOSIS_SDA <= '0' when (mimosis_sda_drv = '0') else 'Z'; MIMOSIS_SCL <= '0' when (mimosis_scl_drv = '0') else 'Z'; - H5(1) <= i2c_reg_5_40(0); --MIMOSIS_SYNC + H3(1) <= i2c_reg_5_40(0); --MIMOSIS_SYNC PIN(1) <= i2c_reg_5_40(4); --MIMOSIS_START PIN(2) <= i2c_reg_5_40(8); --MIMOSIS_RESET @@ -549,6 +557,8 @@ begin LED_ADDON_SFP_ORANGE(0) <= (gbe_status(3) or gbe_status(4)); LED_ADDON_SFP_ORANGE(1) <= '0'; + + ----------------------------------------------------------------------------- ---- GbE ----------------------------------------------------------------------------- @@ -688,38 +698,38 @@ begin --------------------------------------------------------------------------- -- Output stage --------------------------------------------------------------------------- - THE_OUT : entity work.testout - port map( - clkout => open, - refclk => clk_160, - reset => reset_i, - data => out_data, - data_cflag => open, - data_direction => (others => '0'), - data_loadn => (others => '1'), - data_move => (others => '0'), - dout => out_i - ); - - PROC_OUT : process - variable cnt : integer range 0 to 7; - begin - wait until rising_edge(clk_160); - cnt := cnt + 1; - case cnt is - when 0 => out_data <= x"ffff"; - when 1 => out_data <= x"ffff"; - when 2 => out_data <= x"ffff"; - when 3 => out_data <= x"0000"; - when 4 => out_data <= x"5555"; - when 5 => out_data <= x"5555"; - when 6 => out_data <= x"5555"; - when 7 => out_data <= x"5555"; - end case; - end process; - - H3(3 downto 0) <= out_i(3 downto 0); - H4(3 downto 0) <= out_i(7 downto 4); + -- THE_OUT : entity work.testout + -- port map( + -- clkout => open, + -- refclk => clk_160, + -- reset => reset_i, + -- data => out_data, + -- data_cflag => open, + -- data_direction => (others => '0'), + -- data_loadn => (others => '1'), + -- data_move => (others => '0'), + -- dout => out_i + -- ); + + -- PROC_OUT : process + -- variable cnt : integer range 0 to 7; + -- begin + -- wait until rising_edge(clk_160); + -- cnt := cnt + 1; + -- case cnt is + -- when 0 => out_data <= x"ffff"; + -- when 1 => out_data <= x"ffff"; + -- when 2 => out_data <= x"ffff"; + -- when 3 => out_data <= x"0000"; + -- when 4 => out_data <= x"5555"; + -- when 5 => out_data <= x"5555"; + -- when 6 => out_data <= x"5555"; + -- when 7 => out_data <= x"5555"; + -- end case; + -- end process; + + -- H3(3 downto 0) <= out_i(3 downto 0); + -- H4(3 downto 0) <= out_i(7 downto 4); --------------------------------------------------------------------------- diff --git a/pinout/trb5sc_hdmi.lpf b/pinout/trb5sc_hdmi.lpf index 5d7a426..6c89d07 100644 --- a/pinout/trb5sc_hdmi.lpf +++ b/pinout/trb5sc_hdmi.lpf @@ -88,8 +88,10 @@ LOCATE COMP "H5[3]" SITE "R32" ; #was "FE_DIFF[6]" LOCATE COMP "H7[3]" SITE "W30" ; #was "FE_DIFF[7]" #LOCATE COMP "H5[4]" SITE "T32" ; #was "FE_DIFF[8]" -LOCATE COMP "MIMOSIS_SCL" SITE "U32" ; #was "FE_DIFF[8]" -LOCATE COMP "MIMOSIS_SDA" SITE "T32" ; #was "FE_DIFF[8]" +# LOCATE COMP "MIMOSIS_SCL" SITE "U32" ; #was "FE_DIFF[8]" +# LOCATE COMP "MIMOSIS_SDA" SITE "T32" ; #was "FE_DIFF[8]" +LOCATE COMP "MIMOSIS_SCL" SITE "C2" ; #was "FE_DIFF[8]" +LOCATE COMP "MIMOSIS_SDA" SITE "B1" ; #was "FE_DIFF[8]" IOBUF PORT "MIMOSIS_SCL" IO_TYPE=LVCMOS25 ; IOBUF PORT "MIMOSIS_SDA" IO_TYPE=LVCMOS25 ;