From: Thomas Gessler Date: Mon, 24 Aug 2020 17:16:33 +0000 (+0200) Subject: Fix hub data signals, add debug core to hub_test X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=bc5076402cdc54f314e0d6126298a2f6eb545073;p=cri.git Fix hub data signals, add debug core to hub_test --- diff --git a/hub_test/constrs/debug.xdc b/hub_test/constrs/debug.xdc new file mode 100644 index 0000000..5eea18e --- /dev/null +++ b/hub_test/constrs/debug.xdc @@ -0,0 +1,38 @@ +create_debug_core u_ila_0 ila +set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0] +set_property ALL_PROBE_SAME_MU_CNT 1 [get_debug_cores u_ila_0] +set_property C_ADV_TRIGGER false [get_debug_cores u_ila_0] +set_property C_DATA_DEPTH 1024 [get_debug_cores u_ila_0] +set_property C_EN_STRG_QUAL false [get_debug_cores u_ila_0] +set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0] +set_property C_TRIGIN_EN false [get_debug_cores u_ila_0] +set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0] +set_property port_width 1 [get_debug_ports u_ila_0/clk] +connect_debug_port u_ila_0/clk [get_nets [list THE_SYSCLK/inst/clk_out1]] +set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe0] +set_property port_width 9 [get_debug_ports u_ila_0/probe0] +connect_debug_port u_ila_0/probe0 [get_nets [list {hub_data_active[0]} {hub_data_active[1]} {hub_data_active[2]} {hub_data_active[3]} {hub_data_active[4]} {hub_data_active[5]} {hub_data_active[6]} {hub_data_active[7]} {hub_data_active[8]}]] +create_debug_port u_ila_0 probe +set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe1] +set_property port_width 144 [get_debug_ports u_ila_0/probe1] +connect_debug_port u_ila_0/probe1 [get_nets [list {hub_data_address_sender[0]} {hub_data_address_sender[1]} {hub_data_address_sender[2]} {hub_data_address_sender[3]} {hub_data_address_sender[4]} {hub_data_address_sender[5]} {hub_data_address_sender[6]} {hub_data_address_sender[7]} {hub_data_address_sender[8]} {hub_data_address_sender[9]} {hub_data_address_sender[10]} {hub_data_address_sender[11]} {hub_data_address_sender[12]} {hub_data_address_sender[13]} {hub_data_address_sender[14]} {hub_data_address_sender[15]} {hub_data_address_sender[16]} {hub_data_address_sender[17]} {hub_data_address_sender[18]} {hub_data_address_sender[19]} {hub_data_address_sender[20]} {hub_data_address_sender[21]} {hub_data_address_sender[22]} {hub_data_address_sender[23]} {hub_data_address_sender[24]} {hub_data_address_sender[25]} {hub_data_address_sender[26]} {hub_data_address_sender[27]} {hub_data_address_sender[28]} {hub_data_address_sender[29]} {hub_data_address_sender[30]} {hub_data_address_sender[31]} {hub_data_address_sender[32]} {hub_data_address_sender[33]} {hub_data_address_sender[34]} {hub_data_address_sender[35]} {hub_data_address_sender[36]} {hub_data_address_sender[37]} {hub_data_address_sender[38]} {hub_data_address_sender[39]} {hub_data_address_sender[40]} {hub_data_address_sender[41]} {hub_data_address_sender[42]} {hub_data_address_sender[43]} {hub_data_address_sender[44]} {hub_data_address_sender[45]} {hub_data_address_sender[46]} {hub_data_address_sender[47]} {hub_data_address_sender[48]} {hub_data_address_sender[49]} {hub_data_address_sender[50]} {hub_data_address_sender[51]} {hub_data_address_sender[52]} {hub_data_address_sender[53]} {hub_data_address_sender[54]} {hub_data_address_sender[55]} {hub_data_address_sender[56]} {hub_data_address_sender[57]} {hub_data_address_sender[58]} {hub_data_address_sender[59]} {hub_data_address_sender[60]} {hub_data_address_sender[61]} {hub_data_address_sender[62]} {hub_data_address_sender[63]} {hub_data_address_sender[64]} {hub_data_address_sender[65]} {hub_data_address_sender[66]} {hub_data_address_sender[67]} {hub_data_address_sender[68]} {hub_data_address_sender[69]} {hub_data_address_sender[70]} {hub_data_address_sender[71]} {hub_data_address_sender[72]} {hub_data_address_sender[73]} {hub_data_address_sender[74]} {hub_data_address_sender[75]} {hub_data_address_sender[76]} {hub_data_address_sender[77]} {hub_data_address_sender[78]} {hub_data_address_sender[79]} {hub_data_address_sender[80]} {hub_data_address_sender[81]} {hub_data_address_sender[82]} {hub_data_address_sender[83]} {hub_data_address_sender[84]} {hub_data_address_sender[85]} {hub_data_address_sender[86]} {hub_data_address_sender[87]} {hub_data_address_sender[88]} {hub_data_address_sender[89]} {hub_data_address_sender[90]} {hub_data_address_sender[91]} {hub_data_address_sender[92]} {hub_data_address_sender[93]} {hub_data_address_sender[94]} {hub_data_address_sender[95]} {hub_data_address_sender[96]} {hub_data_address_sender[97]} {hub_data_address_sender[98]} {hub_data_address_sender[99]} {hub_data_address_sender[100]} {hub_data_address_sender[101]} {hub_data_address_sender[102]} {hub_data_address_sender[103]} {hub_data_address_sender[104]} {hub_data_address_sender[105]} {hub_data_address_sender[106]} {hub_data_address_sender[107]} {hub_data_address_sender[108]} {hub_data_address_sender[109]} {hub_data_address_sender[110]} {hub_data_address_sender[111]} {hub_data_address_sender[112]} {hub_data_address_sender[113]} {hub_data_address_sender[114]} {hub_data_address_sender[115]} {hub_data_address_sender[116]} {hub_data_address_sender[117]} {hub_data_address_sender[118]} {hub_data_address_sender[119]} {hub_data_address_sender[120]} {hub_data_address_sender[121]} {hub_data_address_sender[122]} {hub_data_address_sender[123]} {hub_data_address_sender[124]} {hub_data_address_sender[125]} {hub_data_address_sender[126]} {hub_data_address_sender[127]} {hub_data_address_sender[128]} {hub_data_address_sender[129]} {hub_data_address_sender[130]} {hub_data_address_sender[131]} {hub_data_address_sender[132]} {hub_data_address_sender[133]} {hub_data_address_sender[134]} {hub_data_address_sender[135]} {hub_data_address_sender[136]} {hub_data_address_sender[137]} {hub_data_address_sender[138]} {hub_data_address_sender[139]} {hub_data_address_sender[140]} {hub_data_address_sender[141]} {hub_data_address_sender[142]} {hub_data_address_sender[143]}]] +create_debug_port u_ila_0 probe +set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe2] +set_property port_width 144 [get_debug_ports u_ila_0/probe2] +connect_debug_port u_ila_0/probe2 [get_nets [list {hub_data_length[0]} {hub_data_length[1]} {hub_data_length[2]} {hub_data_length[3]} {hub_data_length[4]} {hub_data_length[5]} {hub_data_length[6]} {hub_data_length[7]} {hub_data_length[8]} {hub_data_length[9]} {hub_data_length[10]} {hub_data_length[11]} {hub_data_length[12]} {hub_data_length[13]} {hub_data_length[14]} {hub_data_length[15]} {hub_data_length[16]} {hub_data_length[17]} {hub_data_length[18]} {hub_data_length[19]} {hub_data_length[20]} {hub_data_length[21]} {hub_data_length[22]} {hub_data_length[23]} {hub_data_length[24]} {hub_data_length[25]} {hub_data_length[26]} {hub_data_length[27]} {hub_data_length[28]} {hub_data_length[29]} {hub_data_length[30]} {hub_data_length[31]} {hub_data_length[32]} {hub_data_length[33]} {hub_data_length[34]} {hub_data_length[35]} {hub_data_length[36]} {hub_data_length[37]} {hub_data_length[38]} {hub_data_length[39]} {hub_data_length[40]} {hub_data_length[41]} {hub_data_length[42]} {hub_data_length[43]} {hub_data_length[44]} {hub_data_length[45]} {hub_data_length[46]} {hub_data_length[47]} {hub_data_length[48]} {hub_data_length[49]} {hub_data_length[50]} {hub_data_length[51]} {hub_data_length[52]} {hub_data_length[53]} {hub_data_length[54]} {hub_data_length[55]} {hub_data_length[56]} {hub_data_length[57]} {hub_data_length[58]} {hub_data_length[59]} {hub_data_length[60]} {hub_data_length[61]} {hub_data_length[62]} {hub_data_length[63]} {hub_data_length[64]} {hub_data_length[65]} {hub_data_length[66]} {hub_data_length[67]} {hub_data_length[68]} {hub_data_length[69]} {hub_data_length[70]} {hub_data_length[71]} {hub_data_length[72]} {hub_data_length[73]} {hub_data_length[74]} {hub_data_length[75]} {hub_data_length[76]} {hub_data_length[77]} {hub_data_length[78]} {hub_data_length[79]} {hub_data_length[80]} {hub_data_length[81]} {hub_data_length[82]} {hub_data_length[83]} {hub_data_length[84]} {hub_data_length[85]} {hub_data_length[86]} {hub_data_length[87]} {hub_data_length[88]} {hub_data_length[89]} {hub_data_length[90]} {hub_data_length[91]} {hub_data_length[92]} {hub_data_length[93]} {hub_data_length[94]} {hub_data_length[95]} {hub_data_length[96]} {hub_data_length[97]} {hub_data_length[98]} {hub_data_length[99]} {hub_data_length[100]} {hub_data_length[101]} {hub_data_length[102]} {hub_data_length[103]} {hub_data_length[104]} {hub_data_length[105]} {hub_data_length[106]} {hub_data_length[107]} {hub_data_length[108]} {hub_data_length[109]} {hub_data_length[110]} {hub_data_length[111]} {hub_data_length[112]} {hub_data_length[113]} {hub_data_length[114]} {hub_data_length[115]} {hub_data_length[116]} {hub_data_length[117]} {hub_data_length[118]} {hub_data_length[119]} {hub_data_length[120]} {hub_data_length[121]} {hub_data_length[122]} {hub_data_length[123]} {hub_data_length[124]} {hub_data_length[125]} {hub_data_length[126]} {hub_data_length[127]} {hub_data_length[128]} {hub_data_length[129]} {hub_data_length[130]} {hub_data_length[131]} {hub_data_length[132]} {hub_data_length[133]} {hub_data_length[134]} {hub_data_length[135]} {hub_data_length[136]} {hub_data_length[137]} {hub_data_length[138]} {hub_data_length[139]} {hub_data_length[140]} {hub_data_length[141]} {hub_data_length[142]} {hub_data_length[143]}]] +create_debug_port u_ila_0 probe +set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe3] +set_property port_width 288 [get_debug_ports u_ila_0/probe3] +connect_debug_port u_ila_0/probe3 [get_nets [list {hub_data_out[0]} {hub_data_out[1]} {hub_data_out[2]} {hub_data_out[3]} {hub_data_out[4]} {hub_data_out[5]} {hub_data_out[6]} {hub_data_out[7]} {hub_data_out[8]} {hub_data_out[9]} {hub_data_out[10]} {hub_data_out[11]} {hub_data_out[12]} {hub_data_out[13]} {hub_data_out[14]} {hub_data_out[15]} {hub_data_out[16]} {hub_data_out[17]} {hub_data_out[18]} {hub_data_out[19]} {hub_data_out[20]} {hub_data_out[21]} {hub_data_out[22]} {hub_data_out[23]} {hub_data_out[24]} {hub_data_out[25]} {hub_data_out[26]} {hub_data_out[27]} {hub_data_out[28]} {hub_data_out[29]} {hub_data_out[30]} {hub_data_out[31]} {hub_data_out[32]} {hub_data_out[33]} {hub_data_out[34]} {hub_data_out[35]} {hub_data_out[36]} {hub_data_out[37]} {hub_data_out[38]} {hub_data_out[39]} {hub_data_out[40]} {hub_data_out[41]} {hub_data_out[42]} {hub_data_out[43]} {hub_data_out[44]} {hub_data_out[45]} {hub_data_out[46]} {hub_data_out[47]} {hub_data_out[48]} {hub_data_out[49]} {hub_data_out[50]} {hub_data_out[51]} {hub_data_out[52]} {hub_data_out[53]} {hub_data_out[54]} {hub_data_out[55]} {hub_data_out[56]} {hub_data_out[57]} {hub_data_out[58]} {hub_data_out[59]} {hub_data_out[60]} {hub_data_out[61]} {hub_data_out[62]} {hub_data_out[63]} {hub_data_out[64]} {hub_data_out[65]} {hub_data_out[66]} {hub_data_out[67]} {hub_data_out[68]} {hub_data_out[69]} {hub_data_out[70]} {hub_data_out[71]} {hub_data_out[72]} {hub_data_out[73]} {hub_data_out[74]} {hub_data_out[75]} {hub_data_out[76]} {hub_data_out[77]} {hub_data_out[78]} {hub_data_out[79]} {hub_data_out[80]} {hub_data_out[81]} {hub_data_out[82]} {hub_data_out[83]} {hub_data_out[84]} {hub_data_out[85]} {hub_data_out[86]} {hub_data_out[87]} {hub_data_out[88]} {hub_data_out[89]} {hub_data_out[90]} {hub_data_out[91]} {hub_data_out[92]} {hub_data_out[93]} {hub_data_out[94]} {hub_data_out[95]} {hub_data_out[96]} {hub_data_out[97]} {hub_data_out[98]} {hub_data_out[99]} {hub_data_out[100]} {hub_data_out[101]} {hub_data_out[102]} {hub_data_out[103]} {hub_data_out[104]} {hub_data_out[105]} {hub_data_out[106]} {hub_data_out[107]} {hub_data_out[108]} {hub_data_out[109]} {hub_data_out[110]} {hub_data_out[111]} {hub_data_out[112]} {hub_data_out[113]} {hub_data_out[114]} {hub_data_out[115]} {hub_data_out[116]} {hub_data_out[117]} {hub_data_out[118]} {hub_data_out[119]} {hub_data_out[120]} {hub_data_out[121]} {hub_data_out[122]} {hub_data_out[123]} {hub_data_out[124]} {hub_data_out[125]} {hub_data_out[126]} {hub_data_out[127]} {hub_data_out[128]} {hub_data_out[129]} {hub_data_out[130]} {hub_data_out[131]} {hub_data_out[132]} {hub_data_out[133]} {hub_data_out[134]} {hub_data_out[135]} {hub_data_out[136]} {hub_data_out[137]} {hub_data_out[138]} {hub_data_out[139]} {hub_data_out[140]} {hub_data_out[141]} {hub_data_out[142]} {hub_data_out[143]} {hub_data_out[144]} {hub_data_out[145]} {hub_data_out[146]} {hub_data_out[147]} {hub_data_out[148]} {hub_data_out[149]} {hub_data_out[150]} {hub_data_out[151]} {hub_data_out[152]} {hub_data_out[153]} {hub_data_out[154]} {hub_data_out[155]} {hub_data_out[156]} {hub_data_out[157]} {hub_data_out[158]} {hub_data_out[159]} {hub_data_out[160]} {hub_data_out[161]} {hub_data_out[162]} {hub_data_out[163]} {hub_data_out[164]} {hub_data_out[165]} {hub_data_out[166]} {hub_data_out[167]} {hub_data_out[168]} {hub_data_out[169]} {hub_data_out[170]} {hub_data_out[171]} {hub_data_out[172]} {hub_data_out[173]} {hub_data_out[174]} {hub_data_out[175]} {hub_data_out[176]} {hub_data_out[177]} {hub_data_out[178]} {hub_data_out[179]} {hub_data_out[180]} {hub_data_out[181]} {hub_data_out[182]} {hub_data_out[183]} {hub_data_out[184]} {hub_data_out[185]} {hub_data_out[186]} {hub_data_out[187]} {hub_data_out[188]} {hub_data_out[189]} {hub_data_out[190]} {hub_data_out[191]} {hub_data_out[192]} {hub_data_out[193]} {hub_data_out[194]} {hub_data_out[195]} {hub_data_out[196]} {hub_data_out[197]} {hub_data_out[198]} {hub_data_out[199]} {hub_data_out[200]} {hub_data_out[201]} {hub_data_out[202]} {hub_data_out[203]} {hub_data_out[204]} {hub_data_out[205]} {hub_data_out[206]} {hub_data_out[207]} {hub_data_out[208]} {hub_data_out[209]} {hub_data_out[210]} {hub_data_out[211]} {hub_data_out[212]} {hub_data_out[213]} {hub_data_out[214]} {hub_data_out[215]} {hub_data_out[216]} {hub_data_out[217]} {hub_data_out[218]} {hub_data_out[219]} {hub_data_out[220]} {hub_data_out[221]} {hub_data_out[222]} {hub_data_out[223]} {hub_data_out[224]} {hub_data_out[225]} {hub_data_out[226]} {hub_data_out[227]} {hub_data_out[228]} {hub_data_out[229]} {hub_data_out[230]} {hub_data_out[231]} {hub_data_out[232]} {hub_data_out[233]} {hub_data_out[234]} {hub_data_out[235]} {hub_data_out[236]} {hub_data_out[237]} {hub_data_out[238]} {hub_data_out[239]} {hub_data_out[240]} {hub_data_out[241]} {hub_data_out[242]} {hub_data_out[243]} {hub_data_out[244]} {hub_data_out[245]} {hub_data_out[246]} {hub_data_out[247]} {hub_data_out[248]} {hub_data_out[249]} {hub_data_out[250]} {hub_data_out[251]} {hub_data_out[252]} {hub_data_out[253]} {hub_data_out[254]} {hub_data_out[255]} {hub_data_out[256]} {hub_data_out[257]} {hub_data_out[258]} {hub_data_out[259]} {hub_data_out[260]} {hub_data_out[261]} {hub_data_out[262]} {hub_data_out[263]} {hub_data_out[264]} {hub_data_out[265]} {hub_data_out[266]} {hub_data_out[267]} {hub_data_out[268]} {hub_data_out[269]} {hub_data_out[270]} {hub_data_out[271]} {hub_data_out[272]} {hub_data_out[273]} {hub_data_out[274]} {hub_data_out[275]} {hub_data_out[276]} {hub_data_out[277]} {hub_data_out[278]} {hub_data_out[279]} {hub_data_out[280]} {hub_data_out[281]} {hub_data_out[282]} {hub_data_out[283]} {hub_data_out[284]} {hub_data_out[285]} {hub_data_out[286]} {hub_data_out[287]}]] +create_debug_port u_ila_0 probe +set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe4] +set_property port_width 9 [get_debug_ports u_ila_0/probe4] +connect_debug_port u_ila_0/probe4 [get_nets [list {hub_data_ready[0]} {hub_data_ready[1]} {hub_data_ready[2]} {hub_data_ready[3]} {hub_data_ready[4]} {hub_data_ready[5]} {hub_data_ready[6]} {hub_data_ready[7]} {hub_data_ready[8]}]] +create_debug_port u_ila_0 probe +set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe5] +set_property port_width 72 [get_debug_ports u_ila_0/probe5] +connect_debug_port u_ila_0/probe5 [get_nets [list {hub_data_seqnmbr[0]} {hub_data_seqnmbr[1]} {hub_data_seqnmbr[2]} {hub_data_seqnmbr[3]} {hub_data_seqnmbr[4]} {hub_data_seqnmbr[5]} {hub_data_seqnmbr[6]} {hub_data_seqnmbr[7]} {hub_data_seqnmbr[8]} {hub_data_seqnmbr[9]} {hub_data_seqnmbr[10]} {hub_data_seqnmbr[11]} {hub_data_seqnmbr[12]} {hub_data_seqnmbr[13]} {hub_data_seqnmbr[14]} {hub_data_seqnmbr[15]} {hub_data_seqnmbr[16]} {hub_data_seqnmbr[17]} {hub_data_seqnmbr[18]} {hub_data_seqnmbr[19]} {hub_data_seqnmbr[20]} {hub_data_seqnmbr[21]} {hub_data_seqnmbr[22]} {hub_data_seqnmbr[23]} {hub_data_seqnmbr[24]} {hub_data_seqnmbr[25]} {hub_data_seqnmbr[26]} {hub_data_seqnmbr[27]} {hub_data_seqnmbr[28]} {hub_data_seqnmbr[29]} {hub_data_seqnmbr[30]} {hub_data_seqnmbr[31]} {hub_data_seqnmbr[32]} {hub_data_seqnmbr[33]} {hub_data_seqnmbr[34]} {hub_data_seqnmbr[35]} {hub_data_seqnmbr[36]} {hub_data_seqnmbr[37]} {hub_data_seqnmbr[38]} {hub_data_seqnmbr[39]} {hub_data_seqnmbr[40]} {hub_data_seqnmbr[41]} {hub_data_seqnmbr[42]} {hub_data_seqnmbr[43]} {hub_data_seqnmbr[44]} {hub_data_seqnmbr[45]} {hub_data_seqnmbr[46]} {hub_data_seqnmbr[47]} {hub_data_seqnmbr[48]} {hub_data_seqnmbr[49]} {hub_data_seqnmbr[50]} {hub_data_seqnmbr[51]} {hub_data_seqnmbr[52]} {hub_data_seqnmbr[53]} {hub_data_seqnmbr[54]} {hub_data_seqnmbr[55]} {hub_data_seqnmbr[56]} {hub_data_seqnmbr[57]} {hub_data_seqnmbr[58]} {hub_data_seqnmbr[59]} {hub_data_seqnmbr[60]} {hub_data_seqnmbr[61]} {hub_data_seqnmbr[62]} {hub_data_seqnmbr[63]} {hub_data_seqnmbr[64]} {hub_data_seqnmbr[65]} {hub_data_seqnmbr[66]} {hub_data_seqnmbr[67]} {hub_data_seqnmbr[68]} {hub_data_seqnmbr[69]} {hub_data_seqnmbr[70]} {hub_data_seqnmbr[71]}]] +set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub] +set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub] +set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub] +connect_debug_port dbg_hub/clk [get_nets sysclk_100] diff --git a/hub_test/hub_test.xpr b/hub_test/hub_test.xpr index a38feac..01bb521 100644 --- a/hub_test/hub_test.xpr +++ b/hub_test/hub_test.xpr @@ -545,6 +545,11 @@ + + + + + diff --git a/hub_test/src/hub_test.vhd b/hub_test/src/hub_test.vhd index 180711c..791c845 100644 --- a/hub_test/src/hub_test.vhd +++ b/hub_test/src/hub_test.vhd @@ -131,11 +131,11 @@ architecture behavioral of hub_test is signal mpod_d_txdis : std_logic_vector(11 downto 0); signal hub_data_active : std_logic_vector(INTERFACE_NUM - 2 downto 0); - signal hub_data_out : std_logic_vector((INTERFACE_NUM * (2 * c_DATA_WIDTH)) - 2 downto 0); + signal hub_data_out : std_logic_vector(((INTERFACE_NUM - 1) * (2 * c_DATA_WIDTH)) - 1 downto 0); signal hub_data_ready : std_logic_vector(INTERFACE_NUM - 2 downto 0); - signal hub_data_address_sender : std_logic_vector((INTERFACE_NUM * c_DATA_WIDTH) - 2 downto 0); - signal hub_data_seqnmbr : std_logic_vector((INTERFACE_NUM * 8) - 2 downto 0); - signal hub_data_length : std_logic_vector((INTERFACE_NUM * c_DATA_WIDTH) - 2 downto 0); + signal hub_data_address_sender : std_logic_vector(((INTERFACE_NUM - 1) * c_DATA_WIDTH) - 1 downto 0); + signal hub_data_seqnmbr : std_logic_vector(((INTERFACE_NUM - 1) * 8) - 1 downto 0); + signal hub_data_length : std_logic_vector(((INTERFACE_NUM - 1) * c_DATA_WIDTH) - 1 downto 0); attribute MARK_DEBUG : string; attribute KEEP : string; @@ -376,12 +376,12 @@ begin MED_READ_OUT => med_read_out, MED_STAT_OP => med_stat_op, MED_CTRL_OP => med_ctrl_op, - DATA_ACTIVE => open, - DATA_OUT => open, - DATA_READY => open, - DATA_ADDRESS_SENDER => open, - DATA_SEQNMBR => open, - DATA_LENGTH => open, + DATA_ACTIVE => hub_data_active, + DATA_OUT => hub_data_out, + DATA_READY => hub_data_ready, + DATA_ADDRESS_SENDER => hub_data_address_sender, + DATA_SEQNMBR => hub_data_seqnmbr, + DATA_LENGTH => hub_data_length, ONEWIRE_DATA => onewire_data, ONEWIRE_ADDR => onewire_addr, ONEWIRE_WRITE => onewire_write, diff --git a/src/hub/trb_net16_cri_hub_base3.vhd b/src/hub/trb_net16_cri_hub_base3.vhd index b9b372e..97ccfd6 100644 --- a/src/hub/trb_net16_cri_hub_base3.vhd +++ b/src/hub/trb_net16_cri_hub_base3.vhd @@ -1273,4 +1273,10 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); TIMER_TICKS_OUT(0) <= timer_us_tick; TIMER_TICKS_OUT(1) <= timer_ms_tick; + DATA_ACTIVE <= buf_rec_data_active; + DATA_OUT <= buf_rec_data_out; + DATA_READY <= buf_rec_data_ready; + DATA_ADDRESS_SENDER <= buf_rec_data_addr_sender; + DATA_SEQNMBR <= buf_rec_data_seqnmbr; + DATA_LENGTH <= buf_rec_data_length; end architecture;