From: Andreas Neiser Date: Mon, 22 Apr 2013 16:03:34 +0000 (+0200) Subject: Fixed correct timeout, should be 50000 cycles=500us X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=be73b3b2c3cfdfc05a9550b333e9b3de3d8b3875;p=trb3.git Fixed correct timeout, should be 50000 cycles=500us --- diff --git a/cts/source/mainz_a2_recv.vhd b/cts/source/mainz_a2_recv.vhd index caa05e0..f637413 100644 --- a/cts/source/mainz_a2_recv.vhd +++ b/cts/source/mainz_a2_recv.vhd @@ -50,9 +50,9 @@ end entity; architecture arch1 of mainz_a2_recv is - constant timeoutcnt_Max : integer := 2000000; -- x 10 ns = 20us maximum - -- time until trigger id can - -- be received; + constant timeoutcnt_Max : integer := 50000; -- x 10 ns = 500us maximum + -- time until trigger id can + -- be received; signal timeoutcnt : integer range 0 to timeoutcnt_Max := timeoutcnt_Max; signal shift_reg : std_logic_vector(34 downto 0);