From: Jan Michel Date: Thu, 15 May 2014 15:11:48 +0000 (+0200) Subject: fixing trb3_components after two people changing the same thing X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=be854e9cf9b627bd742d6416800164ec3682f21a;p=trb3.git fixing trb3_components after two people changing the same thing --- be854e9cf9b627bd742d6416800164ec3682f21a diff --cc base/trb3_components.vhd index 2a4fc8a,a0a43a4..5631286 --- a/base/trb3_components.vhd +++ b/base/trb3_components.vhd @@@ -450,10 -449,9 +449,10 @@@ package trb3_components i Reset : in std_logic; Q : out std_logic_vector(35 downto 0); Empty : out std_logic; - Full : out std_logic); - end component FIFO_36x64_OutReg; + Full : out std_logic; + AlmostEmpty : out std_logic); + end component; - + component FIFO_36x32_OutReg is port ( Data : in std_logic_vector(35 downto 0); @@@ -476,10 -473,9 +474,10 @@@ Reset : in std_logic; Q : out std_logic_vector(35 downto 0); Empty : out std_logic; - Full : out std_logic); - end component FIFO_36x16_OutReg; + Full : out std_logic; + AlmostEmpty : out std_logic); + end component; - + component FIFO_DC_36x128_OutReg is port ( Data : in std_logic_vector(35 downto 0);