From: Jan Michel Date: Tue, 3 Nov 2015 12:04:33 +0000 (+0100) Subject: Adding uid as output to timers record. X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=bebb1ba95d917674e0c08d70d01f6bb36b56171a;p=trbnet.git Adding uid as output to timers record. --- diff --git a/trb_net16_endpoint_hades_full.vhd b/trb_net16_endpoint_hades_full.vhd index 86bda83..2dc2f83 100644 --- a/trb_net16_endpoint_hades_full.vhd +++ b/trb_net16_endpoint_hades_full.vhd @@ -146,6 +146,7 @@ entity trb_net16_endpoint_hades_full is TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0); --local time, resetted with each trigger TIMER_TICKS_OUT : out std_logic_vector(1 downto 0); --bit 1 ms-tick, 0 us-tick TEMPERATURE_OUT : out std_logic_vector(11 downto 0); + UNIQUE_ID_OUT : out std_logic_vector(63 downto 0); --Debugging & Status information STAT_DEBUG_IPU : out std_logic_vector (31 downto 0); STAT_DEBUG_1 : out std_logic_vector (31 downto 0); @@ -673,6 +674,7 @@ begin ADDR_OUT => ONEWIRE_ADDR, WRITE_OUT=> ONEWIRE_WRITE, TEMP_OUT => temperature, + ID_OUT => UNIQUE_ID_OUT, STAT => buf_stat_onewire ); end generate; @@ -692,6 +694,7 @@ begin ADDR_OUT => ONEWIRE_ADDR, WRITE_OUT=> ONEWIRE_WRITE, TEMP_OUT => temperature, + ID_OUT => UNIQUE_ID_OUT, STAT => buf_stat_onewire ); end generate; diff --git a/trb_net16_endpoint_hades_full_handler.vhd b/trb_net16_endpoint_hades_full_handler.vhd index 820f117..0c962a7 100644 --- a/trb_net16_endpoint_hades_full_handler.vhd +++ b/trb_net16_endpoint_hades_full_handler.vhd @@ -123,6 +123,7 @@ entity trb_net16_endpoint_hades_full_handler is TIME_SINCE_LAST_TRG_OUT : out std_logic_vector (31 downto 0); --local time, resetted with each trigger TIME_TICKS_OUT : out std_logic_vector ( 1 downto 0); --bit 1 ms-tick, 0 us-tick TEMPERATURE_OUT : out std_logic_vector (11 downto 0); + UNIQUE_ID_OUT : out std_logic_vector (63 downto 0); --Debugging & Status information STAT_DEBUG_IPU : out std_logic_vector (31 downto 0); @@ -359,6 +360,7 @@ begin TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_i, TIMER_TICKS_OUT => time_ticks_i, TEMPERATURE_OUT => TEMPERATURE_OUT, + UNIQUE_ID_OUT => UNIQUE_ID_OUT, STAT_DEBUG_IPU => open, STAT_DEBUG_1 => open, diff --git a/trb_net16_endpoint_hades_full_handler_record.vhd b/trb_net16_endpoint_hades_full_handler_record.vhd index 18469d3..a186686 100644 --- a/trb_net16_endpoint_hades_full_handler_record.vhd +++ b/trb_net16_endpoint_hades_full_handler_record.vhd @@ -128,7 +128,7 @@ architecture trb_net16_endpoint_hades_full_handler_record_arch of trb_net16_endp signal time_since_last_trg_i : std_logic_vector (31 downto 0); signal time_ticks_i : std_logic_vector ( 1 downto 0); signal temperature_i : std_logic_vector (11 downto 0); - + signal unique_id_i : std_logic_vector (63 downto 0); signal buf_fee_data_almost_full_out : std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0); signal stat_handler_i : std_logic_vector (127 downto 0); @@ -270,6 +270,7 @@ begin TIME_SINCE_LAST_TRG_OUT => time_since_last_trg_i, TIMER_TICKS_OUT => time_ticks_i, TEMPERATURE_OUT => temperature_i, + UNIQUE_ID_OUT => unique_id_i, STAT_DEBUG_IPU => open, STAT_DEBUG_1 => open, @@ -289,7 +290,7 @@ TIMERS_OUT.last_trigger <= time_since_last_trg_i; TIMERS_OUT.tick_ms <= time_ticks_i(1); TIMERS_OUT.tick_us <= time_ticks_i(0); TIMERS_OUT.temperature <= temperature_i; - +TIMERS_OUT.uid <= unique_id_i; --------------------------------------------------------------------------- -- RegIO Bus Handler --------------------------------------------------------------------------- diff --git a/trb_net_components.vhd b/trb_net_components.vhd index 09df870..8ae59de 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -730,6 +730,7 @@ end component; REGIO_VAR_ENDPOINT_ID : in std_logic_vector(15 downto 0) := (others => '0'); MY_ADDRESS_OUT : out std_logic_vector(15 downto 0); TEMPERATURE_OUT : out std_logic_vector(11 downto 0); + UNIQUE_ID_OUT : out std_logic_vector (63 downto 0); GLOBAL_TIME_OUT : out std_logic_vector(31 downto 0); --global time, microseconds LOCAL_TIME_OUT : out std_logic_vector(7 downto 0); --local time running with chip frequency TIME_SINCE_LAST_TRG_OUT : out std_logic_vector(31 downto 0); --local time, resetted with each trigger @@ -862,8 +863,8 @@ end component; TIME_LOCAL_OUT : out std_logic_vector (7 downto 0); --local time running with chip frequency TIME_SINCE_LAST_TRG_OUT : out std_logic_vector (31 downto 0); --local time, resetted with each trigger TIME_TICKS_OUT : out std_logic_vector (1 downto 0); --bit 1 ms-tick, 0 us-tick - TEMPERATURE_OUT : out std_logic_vector(11 downto 0); - + TEMPERATURE_OUT : out std_logic_vector (11 downto 0); + UNIQUE_ID_OUT : out std_logic_vector (63 downto 0); --Debugging & Status information STAT_DEBUG_IPU : out std_logic_vector (31 downto 0); STAT_DEBUG_1 : out std_logic_vector (31 downto 0); diff --git a/trb_net_std.vhd b/trb_net_std.vhd index b820986..f38f8d8 100644 --- a/trb_net_std.vhd +++ b/trb_net_std.vhd @@ -205,6 +205,7 @@ package trb_net_std is tick_us : std_logic; network_address : std_logic_vector (15 downto 0); temperature : std_logic_vector (11 downto 0); + uid : std_logic_vector (63 downto 0); end record; type MED2INT is record