From: hadeshyp Date: Mon, 21 Apr 2008 08:46:39 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~568 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=bf90d3ef286eda74053d880c26b3827e8f9e04d7;p=trbnet.git *** empty log message *** --- diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 44c0721..aefed9a 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -42,7 +42,6 @@ entity trb_net16_hub_base is CLK_EN : in std_logic; --Media interfacces - --each port is one bit bigger than actually necessary to avoid error messages MED_DATAREADY_OUT : out std_logic_vector (MII_NUMBER-1 downto 0); MED_DATA_OUT : out std_logic_vector (MII_NUMBER*c_DATA_WIDTH-1 downto 0); MED_PACKET_NUM_OUT: out std_logic_vector (MII_NUMBER*c_NUM_WIDTH-1 downto 0); @@ -1225,10 +1224,10 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); --8x CTRL, 8x STAT - buf_HUB_STAT_GEN(0) <= MED_DATA_IN(14); - buf_HUB_STAT_GEN(1) <= buf_to_hub_REPLY_DATA(14); - buf_HUB_STAT_GEN(2) <= buf_to_hub_REPLY_DATAREADY(0); - buf_HUB_STAT_GEN(7 downto 3) <= (others => '0'); + + buf_HUB_STAT_GEN(3 downto 0) <= MED_PACKET_NUM_OUT; + buf_HUB_STAT_GEN(5 downto 4) <= MED_DATAREADY_IN; + buf_HUB_STAT_GEN(7 downto 6) <= HUB_MED_CONNECTED(1 downto 0); buf_HUB_STAT_GEN(15 downto 8) <= buf_STAT_POINTS_locked(7 downto 0); buf_HUB_STAT_GEN(19 downto 16) <= (others => '0'); buf_HUB_STAT_GEN(31 downto 20) <= TEMP_OUT; diff --git a/trb_net16_hub_logic.vhd b/trb_net16_hub_logic.vhd index d6b523a..729423c 100644 --- a/trb_net16_hub_logic.vhd +++ b/trb_net16_hub_logic.vhd @@ -190,9 +190,9 @@ STAT(5) <= comb_REPLY_muxed_DATA(14); STAT(6) <= REPLY_DATA_IN(14); STAT(7) <= REPLY_DATA_IN(30); STAT(8) <= REPLY_DATA_IN(46); +STAT(9) <= locked; - -STAT(15 downto 9) <= (others => '0'); +STAT(15 downto 10) <= (others => '0'); --STAT(15 downto 8) <= data_counter; STAT_POINTS_locked(POINT_NUMBER-1 downto 0) <= not got_trm; STAT_POINTS_locked(31 downto POINT_NUMBER) <= (others => '0'); diff --git a/trb_net16_med_tlk.vhd b/trb_net16_med_tlk.vhd index e12ae87..e549686 100644 --- a/trb_net16_med_tlk.vhd +++ b/trb_net16_med_tlk.vhd @@ -357,7 +357,7 @@ U1_BUFG: BUFG port map (I => CLK_FB_Out, O => FB_CLK); end if; when WAIT_FOR_TX_ALLOW => next_MED_ERROR_OUT <= ERROR_WAIT; - if counter(27) = '1' then + if counter(28) = '1' then next_tx_allow <= '1'; next_state <= WORKING; end if;