From: Ingo Froehlich Date: Tue, 5 Sep 2017 12:31:15 +0000 (+0200) Subject: 32 bit master debugged, IF X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=c29c5b6cca883416c0b6880ecabcf2f76a8344a7;p=vhdlbasics.git 32 bit master debugged, IF --- diff --git a/machxo3/flash/generic_flash_ctrl.vhd b/machxo3/flash/generic_flash_ctrl.vhd index 7585f6d..b8de49c 100644 --- a/machxo3/flash/generic_flash_ctrl.vhd +++ b/machxo3/flash/generic_flash_ctrl.vhd @@ -4,9 +4,14 @@ -- -- Data in the user flash will be unpacked during boot, the data has the -- following format: --- Version : 1 Byte (must be 0x01, otherwise the unpacking will be cancelled) --- SPI address : 1 Byte --- SPI data : 2 Bytes in big-endian +-- Version : 1 Byte (must be 0x01 or 0x02, otherwise the unpacking will be cancelled) +-- Version = 0x01 : 16 Bit version +-- SPI address : 1 Byte +-- SPI data : 2 Bytes in big-endian +-- Version = 0x02 : 32 Bit version +-- SPI address : 1 Byte +-- SPI data : 4 Bytes in big-endian +-- 2 paddings bytes -- -- SPI registers: -- 0x4x : Mapped flash page (16 Bytes) @@ -15,7 +20,10 @@ -- Bits 12-0 : Flash page -- 0x5C : control register -- Bit 0 : Enable cfg flash --- Bit 1 : Master start (starts unpacking = booting) +-- Bit 1 : Flash error (read-only) +-- Bit 2 : Flash busy (read-only) +-- Bit 4 : Master start (starts unpacking = booting) +-- Bit 5 : Master running (read-only) -- 0x5D : Bits 0/1: Flash memory read buswidth: -- 00 : 8 Bit, 01 : 16 Bit, 11 : 32 Bit (if available) -- Bit 4: 0: Little Endian; 1: Big Endian @@ -118,7 +126,8 @@ architecture arch of generic_flash_ctrl is signal out_delay : std_logic_vector(2 downto 0); - type state_type is (IDLE, EnableFLASH1, EnableFLASH2, EnableFLASH3, Start, ReadPage, WaitFlash1, WaitFlash2, ReadRAM, WaitRAM1, WaitRAM2, WaitRAM3, WaitRAM4, WaitRAM5, WriteSPI); + type state_type is (IDLE, EnableFLASH1, EnableFLASH2, EnableFLASH3, Start, ReadPage, WaitFlash1, WaitFlash2, ReadRAM, WaitRAM1, WaitRAM2, WaitRAM3, WaitRAM4, + WaitRAM2_32, WaitRAM3_32, WaitRAM4_32, WaitRAM5_32, WaitRAM6_32, WriteSPI); signal state : state_type := IDLE; signal master_running : std_logic; signal master_word_counter : std_logic_vector(3 downto 0); @@ -188,8 +197,11 @@ PROC_SELECTOR : process begin reg_LOC_ADDR_OUT <= SPI_ADDR_IN; reg_LOC_WRITE_OUT <= SPI_WRITE_IN; reg_LOC_READ_OUT <= SPI_READ_IN; - reg_SPI_DATA_OUT <= LOC_DATA_IN; - reg_SPI_READY_OUT <= LOC_READY_IN; + if (out_delay = "000") then + reg_SPI_DATA_OUT <= LOC_DATA_IN; + reg_SPI_READY_OUT <= LOC_READY_IN; + end if; + ram_write_i <= '0'; ram_data_i <= x"00"; @@ -211,10 +223,13 @@ PROC_SELECTOR : process begin elsif (SPI_ADDR_IN(7 downto 0) = x"5C") then reg_LOC_WRITE_OUT <= '0'; enable_cfg_flash <= SPI_DATA_IN(0); - master_start_reg <= SPI_DATA_IN(1); + master_start_reg <= SPI_DATA_IN(4); elsif (SPI_ADDR_IN(7 downto 0) = x"5d") then reg_LOC_WRITE_OUT <= '0'; - memreg <= SPI_DATA_IN; + memreg <= SPI_DATA_IN; + elsif (SPI_ADDR_IN(7 downto 0) = x"5f") then + reg_LOC_WRITE_OUT <= '0'; + testreg <= SPI_DATA_IN; elsif (SPI_ADDR_IN(7 downto 0) = x"50") then reg_LOC_WRITE_OUT <= '0'; spi_flash_command <= SPI_DATA_IN(15 downto 13); @@ -223,7 +238,7 @@ PROC_SELECTOR : process begin else spi_flash_page <= "111" & SPI_DATA_IN(9 downto 0); end if; - spi_flash_go <= '1'; + spi_flash_go <= '1'; end if; end if; @@ -233,12 +248,9 @@ PROC_SELECTOR : process begin reg_LOC_DATA_OUT <= master_DATA_OUT; reg_LOC_ADDR_OUT <= master_ADDR_OUT; end if; - - if (DATA_BUS_WIDTH > 16) then - reg_SPI_DATA_OUT(DATA_BUS_WIDTH-1 downto 16) <= (others => '0'); - end if; if (out_delay = "001") then + reg_SPI_DATA_OUT(DATA_BUS_WIDTH-1 downto 0) <= (others => '0'); reg_LOC_READ_OUT <= '0'; reg_SPI_READY_OUT <= '0'; if (memreg(0) = '1') then @@ -316,6 +328,7 @@ PROC_SELECTOR : process begin if (SPI_READ_IN = '1') then if (SPI_ADDR_IN(7 downto 4) = x"4" and burst_counter = "0000") then + reg_SPI_DATA_OUT(DATA_BUS_WIDTH-1 downto 0) <= (others => '0'); out_delay <= "001"; reg_LOC_READ_OUT <= '0'; reg_SPI_READY_OUT <= '0'; @@ -324,22 +337,25 @@ PROC_SELECTOR : process begin elsif (SPI_ADDR_IN(7 downto 0) = x"5C") then reg_LOC_READ_OUT <= '0'; reg_SPI_READY_OUT <= '1'; - reg_SPI_DATA_OUT(15 downto 0) <= x"01" & "00000" & master_running & master_start_reg & enable_cfg_flash; + reg_SPI_DATA_OUT(DATA_BUS_WIDTH-1 downto 0) <= (others => '0'); + reg_SPI_DATA_OUT(15 downto 0) <= x"00" & "00" & master_running & master_start_reg & '0' & flash_busy & flash_err & enable_cfg_flash; elsif (SPI_ADDR_IN(7 downto 0) = x"5d") then reg_LOC_READ_OUT <= '0'; reg_SPI_READY_OUT <= '1'; reg_SPI_DATA_OUT <= memreg; + reg_SPI_DATA_OUT(DATA_BUS_WIDTH-1 downto 0) <= (others => '0'); reg_SPI_DATA_OUT(11 downto 8) <= burst_counter; -- reg_SPI_DATA_OUT(15 downto 0) <= auto_dbg & "00" & master_flash_page; elsif (SPI_ADDR_IN(7 downto 0) = x"5e") then reg_LOC_READ_OUT <= '0'; reg_SPI_READY_OUT <= '1'; + reg_SPI_DATA_OUT(DATA_BUS_WIDTH-1 downto 0) <= (others => '0'); reg_SPI_DATA_OUT <= master_DATA_OUT; elsif (SPI_ADDR_IN(7 downto 0) = x"5f") then reg_LOC_READ_OUT <= '0'; reg_SPI_READY_OUT <= '1'; - -- reg_SPI_DATA_OUT <= testreg; - reg_SPI_DATA_OUT(15 downto 0) <= x"000" & master_word_counter; + reg_SPI_DATA_OUT <= testreg; + --reg_SPI_DATA_OUT(15 downto 0) <= x"000" & master_word_counter; end if; end if; @@ -411,10 +427,13 @@ begin state <= WaitRAM1; master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); when WaitRAM1 => - if (ram_data_o = x"01") then --Version OK + if (ram_data_o = x"01") then --Version: 16 Bit state <= WaitRAM2; master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); - else + elsif (ram_data_o = x"02" and DATA_BUS_WIDTH > 24) then --Version: 32 Bit + state <= WaitRAM2_32; + master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); + else -- break master_DATA_OUT(15 downto 0) <= x"ff" & ram_data_o; state <= IDLE; end if; @@ -422,13 +441,34 @@ begin master_ADDR_OUT <= ram_data_o; state <= WaitRAM3; master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); + when WaitRAM2_32 => + master_ADDR_OUT <= ram_data_o; + state <= WaitRAM3_32; + master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); when WaitRAM3 => master_DATA_OUT(15 downto 0) <= ram_data_o & x"00"; state <= WaitRAM4; + when WaitRAM3_32 => + master_DATA_OUT(31 downto 0) <= ram_data_o & x"000000"; + master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); + state <= WaitRAM4_32; when WaitRAM4 => master_DATA_OUT(15 downto 0) <= master_DATA_OUT(15 downto 8) & ram_data_o; state <= WriteSPI; master_WRITE_OUT <= '1'; + when WaitRAM4_32 => + master_DATA_OUT(31 downto 0) <= master_DATA_OUT(31 downto 24) & ram_data_o & x"0000"; + master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); + state <= WaitRAM5_32; + when WaitRAM5_32 => + master_DATA_OUT(31 downto 0) <= master_DATA_OUT(31 downto 16) & ram_data_o & x"00"; + master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 2); + -- padding bytes + state <= WaitRAM6_32; + when WaitRAM6_32 => + master_DATA_OUT(31 downto 0) <= master_DATA_OUT(31 downto 8) & ram_data_o; + state <= WriteSPI; + master_WRITE_OUT <= '1'; when WriteSPI => -- prepare for next cycle if (master_word_counter = x"F") then