From: hadeshyp Date: Mon, 16 Nov 2009 14:36:33 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~357 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=c2e2849ae07f1e435581164f8f1e103d2d56a9dd;p=trbnet.git *** empty log message *** --- diff --git a/trb_net_components.vhd b/trb_net_components.vhd index 23ff0bc..ceba590 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -298,11 +298,11 @@ package trb_net_components is REGIO_NUM_STAT_REGS : integer range 0 to 6 := 3; --log2 of number of status registers REGIO_NUM_CTRL_REGS : integer range 0 to 6 := 3; --log2 of number of ctrl registers --standard values for output registers - REGIO_INIT_CTRL_REGS : std_logic_vector(2**(3)*32-1 downto 0) := (others => '0'); + REGIO_INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0) := (others => '0'); --set to 0 for unused ctrl registers to save resources - REGIO_USED_CTRL_REGS : std_logic_vector(2**(3)-1 downto 0) := "00000001"; + REGIO_USED_CTRL_REGS : std_logic_vector(2**(4)-1 downto 0) := "00000001"; --set to 0 for each unused bit in a register - REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(3)*32-1 downto 0) := (others => '1'); + REGIO_USED_CTRL_BITMASK : std_logic_vector(2**(4)*32-1 downto 0) := (others => '1'); REGIO_USE_DAT_PORT : integer range 0 to 1 := c_YES; --internal data port REGIO_INIT_ADDRESS : std_logic_vector(15 downto 0) := x"FFFF"; REGIO_INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := x"1000_2000_3654_4876";