From: Ingo Froehlich Date: Tue, 22 Aug 2017 14:09:15 +0000 (+0200) Subject: finished master in generic flash ctrl, IF X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=c36f70ea4e727c3ed5d48ccbeea1da8117934623;p=vhdlbasics.git finished master in generic flash ctrl, IF --- diff --git a/machxo3/flash/generic_flash_ctrl.vhd b/machxo3/flash/generic_flash_ctrl.vhd index 9ec5a76..ee5670c 100644 --- a/machxo3/flash/generic_flash_ctrl.vhd +++ b/machxo3/flash/generic_flash_ctrl.vhd @@ -1,3 +1,24 @@ +-- Generic flash controller +-- Should be placed between spi_slave and the local logic +-- (see e.g. dirich/thresholds/thresholds.vhd) +-- +-- Data in the user flash will be unpacked during boot, the data has the +-- following format: +-- Version : 1 Byte (must be 0x01, otherwise the unpacking will be cancelled) +-- SPI address : 1 Byte +-- SPI data : 2 Bytes in big-endian +-- +-- SPI registers: +-- 0x4x : Mapped flash page (16 Bytes) +-- 0x50 : Flash command (see Padiwa manual) +-- Bits 15-13 : Flash command +-- Bits 12-0 : Flash page +-- 0x5C : control register +-- Bit 0 : Enable cfg flash +-- Bit 1 : Master start (starts unpacking = booting) +-- 0x5D-5F : Debug registers + + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; @@ -9,6 +30,7 @@ library work; use work.trb_net_std.all; entity generic_flash_ctrl is + generic (MASTER_STARTPAGE : std_logic_vector(12 downto 0) := "1" & x"C00"); port( CLK : in std_logic; RESET : in std_logic; @@ -27,8 +49,7 @@ entity generic_flash_ctrl is LOC_ADDR_OUT : out std_logic_vector(7 downto 0); LOC_WRITE_OUT : out std_logic; LOC_READ_OUT : out std_logic; - LOC_READY_IN : in std_logic - + LOC_READY_IN : in std_logic ); end entity; @@ -52,25 +73,6 @@ architecture arch of generic_flash_ctrl is ); end component; - --component flashram - -- port ( - -- DataInA: in std_logic_vector(7 downto 0); - -- DataInB: in std_logic_vector(7 downto 0); - -- AddressA: in std_logic_vector(3 downto 0); - -- AddressB: in std_logic_vector(3 downto 0); - -- ClockA: in std_logic; - -- ClockB: in std_logic; - -- ClockEnA: in std_logic; - -- ClockEnB: in std_logic; - -- WrA: in std_logic; - -- WrB: in std_logic; - -- ResetA: in std_logic; - -- ResetB: in std_logic; - -- QA: out std_logic_vector(7 downto 0); - -- QB: out std_logic_vector(7 downto 0) - -- ); - --end component; - signal reg_SPI_DATA_OUT : std_logic_vector(15 downto 0); signal reg_SPI_READY_OUT : std_logic; signal reg_LOC_DATA_OUT : std_logic_vector(15 downto 0); @@ -86,8 +88,11 @@ architecture arch of generic_flash_ctrl is signal flashram_data_o : std_logic_vector(7 downto 0); signal flash_command : std_logic_vector(2 downto 0); + signal spi_flash_command : std_logic_vector(2 downto 0); signal flash_page : std_logic_vector(12 downto 0); + signal spi_flash_page : std_logic_vector(12 downto 0); signal flash_go : std_logic; + signal spi_flash_go : std_logic; signal flash_busy : std_logic; signal flash_err : std_logic; @@ -95,12 +100,30 @@ architecture arch of generic_flash_ctrl is signal ram_data_i : std_logic_vector(7 downto 0); signal ram_data_o : std_logic_vector(7 downto 0); signal ram_addr_i : std_logic_vector(3 downto 0); - + signal spi_ram_addr_i : std_logic_vector(3 downto 0); + signal enable_cfg_flash : std_logic; signal testreg : std_logic_vector(15 downto 0); signal out_delay : std_logic_vector(1 downto 0); + + type state_type is (IDLE, EnableFLASH1, EnableFLASH2, EnableFLASH3, Start, ReadPage, WaitFlash1, WaitFlash2, ReadRAM, WaitRAM1, WaitRAM2, WaitRAM3, WaitRAM4, WaitRAM5, WriteSPI); + signal state : state_type := IDLE; + signal master_running : std_logic; + signal master_word_counter : std_logic_vector(3 downto 0); + signal master_flash_page : std_logic_vector(12 downto 0) := "0000000000000"; + signal master_flash_command : std_logic_vector(2 downto 0); + signal master_flash_go : std_logic; + signal master_start_reg : std_logic := '0'; + signal clean_master_start_reg : std_logic := '0'; + signal master_DATA_OUT : std_logic_vector(15 downto 0); + signal master_ADDR_OUT : std_logic_vector(7 downto 0); + signal master_WRITE_OUT : std_logic; + + signal auto_reset : std_logic := '1'; + signal auto_dbg : std_logic := '0'; + signal auto_cnt : std_logic_vector(19 downto 0) := x"00000"; begin @@ -139,10 +162,6 @@ begin mem_rd_data => flashram_data_o ); - - --ram_data_i <= LOC_DATA_IN(7 downto 0); - --ram_addr_i <= SPI_ADDR_IN(3 downto 0); - LOC_DATA_OUT <= reg_LOC_DATA_OUT; LOC_ADDR_OUT <= reg_LOC_ADDR_OUT; LOC_WRITE_OUT <= reg_LOC_WRITE_OUT; @@ -163,33 +182,46 @@ PROC_SELECTOR : process begin ram_write_i <= '0'; ram_data_i <= x"00"; - ram_addr_i <= x"0"; - flash_go <= '0'; + spi_ram_addr_i <= x"0"; + spi_flash_go <= '0'; + if (clean_master_start_reg = '1') then + master_start_reg <= '0'; + end if; + if (SPI_WRITE_IN = '1') then if (SPI_ADDR_IN(7 downto 4) = x"4") then reg_LOC_WRITE_OUT <= '0'; ram_write_i <= '1'; ram_data_i <= SPI_DATA_IN(7 downto 0); - ram_addr_i <= SPI_ADDR_IN(3 downto 0); + spi_ram_addr_i <= SPI_ADDR_IN(3 downto 0); elsif (SPI_ADDR_IN(7 downto 0) = x"5C") then reg_LOC_WRITE_OUT <= '0'; enable_cfg_flash <= SPI_DATA_IN(0); + master_start_reg <= SPI_DATA_IN(1); elsif (SPI_ADDR_IN(7 downto 0) = x"5f") then reg_LOC_WRITE_OUT <= '0'; testreg <= SPI_DATA_IN; elsif (SPI_ADDR_IN(7 downto 0) = x"50") then reg_LOC_WRITE_OUT <= '0'; - flash_command <= SPI_DATA_IN(15 downto 13); - if(enable_cfg_flash = '1') then - flash_page <= SPI_DATA_IN(12 downto 0); + spi_flash_command <= SPI_DATA_IN(15 downto 13); + if (enable_cfg_flash = '1') then + spi_flash_page <= SPI_DATA_IN(12 downto 0); else - flash_page <= "111" & SPI_DATA_IN(9 downto 0); + spi_flash_page <= "111" & SPI_DATA_IN(9 downto 0); end if; - flash_go <= '1'; + spi_flash_go <= '1'; end if; end if; + if (master_running = '1') then + reg_LOC_WRITE_OUT <= master_WRITE_OUT; + reg_LOC_READ_OUT <= '0'; + reg_LOC_DATA_OUT <= master_DATA_OUT; + reg_LOC_ADDR_OUT <= master_ADDR_OUT; + end if; + + if (out_delay = "01") then reg_LOC_READ_OUT <= '0'; reg_SPI_READY_OUT <= '0'; @@ -208,19 +240,127 @@ PROC_SELECTOR : process begin out_delay <= "01"; reg_LOC_READ_OUT <= '0'; reg_SPI_READY_OUT <= '0'; - ram_addr_i <= SPI_ADDR_IN(3 downto 0); + spi_ram_addr_i <= SPI_ADDR_IN(3 downto 0); --reg_SPI_READY_OUT <= '1'; -- reg_SPI_DATA_OUT <= flash_busy & flash_err & "00" & ram_addr_i & ram_data_o; + elsif (SPI_ADDR_IN(7 downto 0) = x"5C") then + reg_LOC_READ_OUT <= '0'; + reg_SPI_READY_OUT <= '1'; + reg_SPI_DATA_OUT <= x"01" & "00000" & master_running & master_start_reg & enable_cfg_flash; + elsif (SPI_ADDR_IN(7 downto 0) = x"5d") then + reg_LOC_READ_OUT <= '0'; + reg_SPI_READY_OUT <= '1'; + reg_SPI_DATA_OUT <= auto_dbg & "00" & master_flash_page; + elsif (SPI_ADDR_IN(7 downto 0) = x"5e") then + reg_LOC_READ_OUT <= '0'; + reg_SPI_READY_OUT <= '1'; + reg_SPI_DATA_OUT <= master_DATA_OUT; elsif (SPI_ADDR_IN(7 downto 0) = x"5f") then reg_LOC_READ_OUT <= '0'; reg_SPI_READY_OUT <= '1'; - reg_SPI_DATA_OUT <= testreg; + -- reg_SPI_DATA_OUT <= testreg; + reg_SPI_DATA_OUT <= x"000" & master_word_counter; end if; end if; end process; - +master_running <= '0' when state = IDLE else '1'; +ram_addr_i <= master_word_counter when master_running = '1' else spi_ram_addr_i; +flash_go <= master_flash_go when master_running = '1' else spi_flash_go; +flash_page <= master_flash_page when master_running = '1' else spi_flash_page; +flash_command <= master_flash_command when master_running = '1' else spi_flash_command; + +state_machine : process (CLK) +begin + if rising_edge(CLK) then + master_flash_go <= '0'; + master_WRITE_OUT <= '0'; + clean_master_start_reg <= '0'; + + case state is + when IDLE => + if (master_start_reg = '1' or auto_reset = '1') then + state <= Start; + clean_master_start_reg <= '1'; + master_DATA_OUT <= x"0000"; + master_ADDR_OUT <= x"00"; + if (auto_reset = '1') then + auto_cnt <= std_logic_vector(unsigned(auto_cnt) + 1); + state <= IDLE; + if (auto_cnt = x"FFFFF") then + auto_reset <= '0'; + state <= EnableFLASH1; + master_flash_command <= "100"; + master_flash_go <= '1'; + end if; + else + master_flash_command <= "000"; + end if; + end if; + when EnableFLASH1 => + auto_dbg <= '1'; + state <= EnableFLASH2; + when EnableFLASH2 => + state <= EnableFLASH3; + when EnableFLASH3 => + state <= EnableFLASH3; + if (flash_busy = '0') then + state <= Start; + master_flash_command <= "000"; + end if; + when Start => + master_flash_page <= MASTER_STARTPAGE; + master_word_counter <= "0000"; + state <= ReadPage; + when ReadPage => + master_flash_go <= '1'; + state <= WaitFlash1; + when WaitFlash1 => + state <= WaitFlash2; + when WaitFlash2 => + state <= WaitFlash2; + if (flash_busy = '0') then + state <= ReadRAM; + end if; + when ReadRAM => + state <= WaitRAM1; + master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); + when WaitRAM1 => + if (ram_data_o = x"01") then --Version OK + state <= WaitRAM2; + master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); + else + master_DATA_OUT <= x"ff" & ram_data_o; + state <= IDLE; + end if; + when WaitRAM2 => + master_ADDR_OUT <= ram_data_o; + state <= WaitRAM3; + master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); + when WaitRAM3 => + master_DATA_OUT <= ram_data_o & x"00"; + state <= WaitRAM4; + when WaitRAM4 => + master_DATA_OUT <= master_DATA_OUT(15 downto 8) & ram_data_o; + state <= WriteSPI; + master_WRITE_OUT <= '1'; + when WriteSPI => + -- prepare for next cycle + if (master_word_counter = x"F") then + master_DATA_OUT <= x"eeee"; + state <= ReadPage; + master_word_counter <= "0000"; + master_flash_page <= std_logic_vector(unsigned(master_flash_page) + 1); + else + state <= ReadRAM; + master_word_counter <= std_logic_vector(unsigned(master_word_counter) + 1); + end if; + end case; + end if; +end process; + + end architecture;