From: Jan Michel Date: Fri, 10 Feb 2023 13:02:48 +0000 (+0100) Subject: remove spillmon from CTS design X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=c5d443048ab0f2439eb13835df0cad39705ff521;p=trb3sc.git remove spillmon from CTS design --- diff --git a/cts/config_32TDC.vhd b/cts/config_32TDC.vhd index 46faf49..19f67ae 100644 --- a/cts/config_32TDC.vhd +++ b/cts/config_32TDC.vhd @@ -77,7 +77,7 @@ package config is -- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_NO; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM; constant ETM_ID : std_logic_vector(7 downto 0); diff --git a/cts/config_8SFP_KEL.vhd b/cts/config_8SFP_KEL.vhd index 1f282fd..d013561 100644 --- a/cts/config_8SFP_KEL.vhd +++ b/cts/config_8SFP_KEL.vhd @@ -77,7 +77,7 @@ package config is -- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM; constant ETM_ID : std_logic_vector(7 downto 0); diff --git a/cts/config_8SFP_RJAdapter.vhd b/cts/config_8SFP_RJAdapter.vhd index 52b2db3..1b72012 100644 --- a/cts/config_8SFP_RJAdapter.vhd +++ b/cts/config_8SFP_RJAdapter.vhd @@ -77,7 +77,7 @@ package config is -- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM; constant ETM_ID : std_logic_vector(7 downto 0); diff --git a/cts/config_8TDC_R3B.vhd b/cts/config_8TDC_R3B.vhd index 9a597ff..1caf3df 100644 --- a/cts/config_8TDC_R3B.vhd +++ b/cts/config_8TDC_R3B.vhd @@ -77,7 +77,7 @@ package config is -- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_R3B; constant ETM_ID : std_logic_vector(7 downto 0); diff --git a/cts/config_backplane_KEL.vhd b/cts/config_backplane_KEL.vhd index 527fa79..c984096 100644 --- a/cts/config_backplane_KEL.vhd +++ b/cts/config_backplane_KEL.vhd @@ -77,7 +77,7 @@ package config is -- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM; constant ETM_ID : std_logic_vector(7 downto 0); diff --git a/cts/config_compile_frankfurt.pl b/cts/config_compile_frankfurt.pl index 2366419..24aac7c 100644 --- a/cts/config_compile_frankfurt.pl +++ b/cts/config_compile_frankfurt.pl @@ -6,12 +6,12 @@ synplify_path => '/d/jspc29/lattice/synplify/S-2021.09-SP2', #synplify_command => "/d/jspc29/lattice/diamond/3.5_x64/bin/lin64/synpwrap -fg -options", #synplify_command => "/d/jspc29/lattice/synplify/J-2014.09-SP2/bin/synplify_premier_dp", -nodelist_file => 'nodes_cts_frankfurt.txt', +nodelist_file => 'nodelist_frankfurt.txt', #pinout_file => 'trb3sc_hub_ctsrj', #with RJ adapter for I/O -#pinout_file => 'trb3sc_hub_kelpadiwa', #with SPI on KEL connectors - pinout_file => 'trb3sc_master', #with backplane + pinout_file => 'trb3sc_hub_kelpadiwa', #with SPI on KEL connectors +# pinout_file => 'trb3sc_master', #with backplane #Include only necessary lpf files diff --git a/cts/config_simple.vhd b/cts/config_simple.vhd index 292195e..ba64819 100644 --- a/cts/config_simple.vhd +++ b/cts/config_simple.vhd @@ -79,7 +79,7 @@ package config is -- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM; constant ETM_ID : std_logic_vector(7 downto 0); diff --git a/cts/trb3sc_cts.vhd b/cts/trb3sc_cts.vhd index dbf209a..d03e6e6 100644 --- a/cts/trb3sc_cts.vhd +++ b/cts/trb3sc_cts.vhd @@ -1042,33 +1042,34 @@ end generate; STATUS_REG_OUT => cts_ext_status ); end generate; - gen_nor3b_etm : if (ETM_CHOICE /= ETM_CHOICE_R3B and ETM_CHOICE /= ETM_CHOICE_SPILLMON) or INCLUDE_ETM = c_NO generate + --gen_nor3b_etm : if (ETM_CHOICE /= ETM_CHOICE_R3B and ETM_CHOICE /= ETM_CHOICE_SPILLMON) or INCLUDE_ETM = c_NO generate + gen_nor3b_etm : if ETM_CHOICE /= ETM_CHOICE_R3B or INCLUDE_ETM = c_NO generate busr3b_tx.unknown <= busr3b_rx.read or busr3b_rx.write when rising_edge(clk_sys); end generate; ---------------------------------------------------------------------------- --- Hades Spill Mon - not a real CTS module, but CTS framework needed ---------------------------------------------------------------------------- - gen_hsm_etm : if ETM_CHOICE = ETM_CHOICE_SPILLMON and INCLUDE_ETM = c_YES generate - THE_SPILLMON : entity work.hadesspillmon - port map( - CLK => clk_sys, - CLK_200 => clk_full, - RESET => reset_i, +----------------------------------------------------------------------------- +---- Hades Spill Mon - not a real CTS module, but CTS framework needed +----------------------------------------------------------------------------- + --gen_hsm_etm : if ETM_CHOICE = ETM_CHOICE_SPILLMON and INCLUDE_ETM = c_YES generate + --THE_SPILLMON : entity work.hadesspillmon + --port map( + --CLK => clk_sys, + --CLK_200 => clk_full, + --RESET => reset_i, - INP => INP(15 downto 0), - START => SPARE_IN(0), + --INP => INP(15 downto 0), + --START => SPARE_IN(0), - BUS_RX => busr3b_rx, - BUS_TX => busr3b_tx - ); + --BUS_RX => busr3b_rx, + --BUS_TX => busr3b_tx + --); - cts_rdo_additional(0).data <= (others => '0'); - cts_rdo_additional(0).data_write <= '0'; - cts_rdo_additional(0).data_finished <= '1'; - cts_rdo_additional(0).statusbits <= (others => '0'); - end generate; + --cts_rdo_additional(0).data <= (others => '0'); + --cts_rdo_additional(0).data_write <= '0'; + --cts_rdo_additional(0).data_finished <= '1'; + --cts_rdo_additional(0).statusbits <= (others => '0'); + --end generate; ---------------------------------------------------------------------------