From: hadeshyp Date: Fri, 8 Feb 2008 13:39:16 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~601 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=c981fbddeb744dac5c5562516f8a296cfa6c052b;p=trbnet.git *** empty log message *** --- diff --git a/lattice/scm/trb_net16_fifo_arch.vhd b/lattice/scm/trb_net16_fifo_arch.vhd index 24b1555..319e3fa 100644 --- a/lattice/scm/trb_net16_fifo_arch.vhd +++ b/lattice/scm/trb_net16_fifo_arch.vhd @@ -97,7 +97,7 @@ begin DEPTH_OUT <= std_logic_vector(to_unsigned(DEPTH,8)); gen_FIFO6 : if DEPTH = 6 generate - fifo:xilinx_fifo_18x1k + fifo:lattice_scm_fifo_18x1k port map ( Data => din, WrClock => CLK, @@ -115,7 +115,7 @@ begin end generate; gen_FIFO1 : if DEPTH = 1 generate - fifo:xilinx_fifo_18x16 + fifo:lattice_scm_fifo_18x16 port map ( Data => din, WrClock => CLK, diff --git a/trb_net16_med_tlk.vhd b/trb_net16_med_tlk.vhd index 026ff6c..04c5cd2 100644 --- a/trb_net16_med_tlk.vhd +++ b/trb_net16_med_tlk.vhd @@ -177,8 +177,12 @@ begin STAT(36 downto 34) <= state_bits; STAT(40 downto 37) <= fifo_status_a; STAT(44 downto 41) <= fifo_status_m; - STAT(63 downto 45) <= counter(22 downto 4); - + STAT(48 downto 45) <= fifo_dout_m(3 downto 0); + STAT(50 downto 49) <= fifo_dout_m(17 downto 16); + STAT(54 downto 51) <= fifo_dout_a(3 downto 0); + STAT(56 downto 55) <= fifo_dout_a(17 downto 16); + STAT(63 downto 57) <= (others => '0'); + process(CLK) begin if rising_edge(CLK) then