From: hadeshyp Date: Wed, 26 Aug 2009 13:50:31 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~387 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=c9ea0c11d1a35bd825e0d2fa0f0cff9f5bc8abc5;p=trbnet.git *** empty log message *** --- diff --git a/trb_net16_hub_func.vhd b/trb_net16_hub_func.vhd index 2577eb4..e50c589 100644 --- a/trb_net16_hub_func.vhd +++ b/trb_net16_hub_func.vhd @@ -242,7 +242,6 @@ component trb_net16_hub_streaming_port is FEE_BUSY_OUT : out std_logic; MY_ADDRESS_IN : in std_logic_vector (15 downto 0); - CTRL_SEQNR_RESET : in std_logic; COMMON_STAT_REGS : out std_logic_vector (std_COMSTATREG*32-1 downto 0); --Status of common STAT regs COMMON_CTRL_REGS : out std_logic_vector (std_COMCTRLREG*32-1 downto 0); --Status of common STAT regs diff --git a/trb_net16_hub_streaming_port.vhd b/trb_net16_hub_streaming_port.vhd index 1b338ba..47737b1 100644 --- a/trb_net16_hub_streaming_port.vhd +++ b/trb_net16_hub_streaming_port.vhd @@ -75,7 +75,6 @@ entity trb_net16_hub_streaming_port is FEE_BUSY_OUT : out std_logic; MY_ADDRESS_IN : in std_logic_vector (15 downto 0); - CTRL_SEQNR_RESET : in std_logic; COMMON_STAT_REGS : out std_logic_vector (std_COMSTATREG*32-1 downto 0); --Status of common STAT regs COMMON_CTRL_REGS : out std_logic_vector (std_COMCTRLREG*32-1 downto 0); --Status of common STAT regs @@ -114,25 +113,25 @@ architecture trb_net16_hub_streaming_arch of trb_net16_hub_streaming_port is constant mii : integer := MII_NUMBER-1; -signal hub_init_dataready_out : std_logic_vector(2 downto 0); -signal hub_reply_dataready_out : std_logic_vector(2 downto 0); -signal hub_init_dataready_in : std_logic_vector(2 downto 0); -signal hub_reply_dataready_in : std_logic_vector(2 downto 0); +signal hub_init_dataready_out : std_logic_vector(3 downto 0); +signal hub_reply_dataready_out : std_logic_vector(3 downto 0); +signal hub_init_dataready_in : std_logic_vector(3 downto 0); +signal hub_reply_dataready_in : std_logic_vector(3 downto 0); -signal hub_init_read_out : std_logic_vector(2 downto 0); -signal hub_reply_read_out : std_logic_vector(2 downto 0); -signal hub_init_read_in : std_logic_vector(2 downto 0); -signal hub_reply_read_in : std_logic_vector(2 downto 0); +signal hub_init_read_out : std_logic_vector(3 downto 0); +signal hub_reply_read_out : std_logic_vector(3 downto 0); +signal hub_init_read_in : std_logic_vector(3 downto 0); +signal hub_reply_read_in : std_logic_vector(3 downto 0); -signal hub_init_data_out : std_logic_vector(47 downto 0); -signal hub_reply_data_out : std_logic_vector(47 downto 0); -signal hub_init_data_in : std_logic_vector(47 downto 0); -signal hub_reply_data_in : std_logic_vector(47 downto 0); +signal hub_init_data_out : std_logic_vector(48 downto 0); +signal hub_reply_data_out : std_logic_vector(48 downto 0); +signal hub_init_data_in : std_logic_vector(48 downto 0); +signal hub_reply_data_in : std_logic_vector(48 downto 0); -signal hub_init_packet_num_out : std_logic_vector(8 downto 0); -signal hub_reply_packet_num_out : std_logic_vector(8 downto 0); -signal hub_init_packet_num_in : std_logic_vector(8 downto 0); -signal hub_reply_packet_num_in : std_logic_vector(8 downto 0); +signal hub_init_packet_num_out : std_logic_vector(9 downto 0); +signal hub_reply_packet_num_out : std_logic_vector(9 downto 0); +signal hub_init_packet_num_in : std_logic_vector(9 downto 0); +signal hub_reply_packet_num_in : std_logic_vector(9 downto 0); signal cts_init_data_out : std_logic_vector(15 downto 0); signal cts_init_dataready_out : std_logic; @@ -155,8 +154,8 @@ signal io_read_in : std_logic_vector(7 downto 0); signal io_dataready_in : std_logic_vector(3 downto 0); signal io_read_out : std_logic_vector(3 downto 0); -signal io_data_in : std_logic_vector(63 downto 0); -signal io_packet_num_in : std_logic_vector(11 downto 0); +signal io_data_in : std_logic_vector(15 downto 0); +signal io_packet_num_in : std_logic_vector(2 downto 0); signal io_error_in : std_logic_vector(2 downto 0); signal reset_i : std_logic; @@ -206,7 +205,7 @@ begin HARDWARE_VERSION => HARDWARE_VERSION, CLOCK_FREQUENCY => CLOCK_FREQUENCY, USE_ONEWIRE => USE_ONEWIRE, - MII_NUMBER => MII_NUMBER, + MII_NUMBER => MII_NUMBER-1, MII_IBUF_DEPTH => MII_IBUF_DEPTH, MII_IS_UPLINK => MII_IS_UPLINK, MII_IS_DOWNLINK => MII_IS_DOWNLINK, @@ -238,7 +237,7 @@ begin INT_INIT_DATAREADY_IN => hub_init_dataready_in, INT_INIT_DATA_IN => hub_init_data_in, INT_INIT_PACKET_NUM_IN => hub_init_packet_num_in, - INT_INIT_READ_OUT => hub_init_read_in, + INT_INIT_READ_OUT => hub_init_read_out, INT_REPLY_DATAREADY_OUT => hub_reply_dataready_out, INT_REPLY_DATA_OUT => hub_reply_data_out, INT_REPLY_PACKET_NUM_OUT => hub_reply_packet_num_out, @@ -300,8 +299,8 @@ begin MED_REPLY_READ_IN => io_read_in(1), MED_DATAREADY_IN => io_dataready_in(0), - MED_DATA_IN => io_data_in(15 downto 0), - MED_PACKET_NUM_IN => io_packet_num_in(2 downto 0), + MED_DATA_IN => io_data_in, + MED_PACKET_NUM_IN => io_packet_num_in, MED_READ_OUT => io_read_out(0), MED_ERROR_IN => io_error_in, @@ -352,7 +351,7 @@ begin RESET => reset_i, CLK_EN => CLK_EN, -- Media direction port - MED_INIT_DATAREADY_OUT => io_dataready_out(4), + MED_INIT_DATAREADY_OUT => io_dataready_out(2), MED_INIT_DATA_OUT => io_data_out(47 downto 32), MED_INIT_PACKET_NUM_OUT => io_packet_num_out(8 downto 6), MED_INIT_READ_IN => io_read_in(4), @@ -363,8 +362,8 @@ begin MED_REPLY_READ_IN => io_read_in(3), MED_DATAREADY_IN => io_dataready_in(1), - MED_DATA_IN => io_data_in(31 downto 16), - MED_PACKET_NUM_IN => io_packet_num_in(5 downto 3), + MED_DATA_IN => io_data_in, + MED_PACKET_NUM_IN => io_packet_num_in, MED_READ_OUT => io_read_out(1), MED_ERROR_IN => io_error_in, @@ -414,8 +413,8 @@ begin MED_REPLY_PACKET_NUM_OUT=> io_packet_num_out(17 downto 15), MED_REPLY_READ_IN => io_read_in(5), MED_DATAREADY_IN => io_dataready_in(2), - MED_DATA_IN => io_dataready_in(47 downto 31), - MED_PACKET_NUM_IN => io_packet_num_in(8 downto 6), + MED_DATA_IN => io_data_in, + MED_PACKET_NUM_IN => io_packet_num_in, MED_READ_OUT => io_read_out(2) ); @@ -446,8 +445,8 @@ begin MED_REPLY_READ_IN => io_read_in(7), MED_DATAREADY_IN => io_dataready_in(3), - MED_DATA_IN => io_data_in(63 downto 48), - MED_PACKET_NUM_IN => io_packet_num_in(11 downto 9), + MED_DATA_IN => io_data_in, + MED_PACKET_NUM_IN => io_packet_num_in, MED_READ_OUT => io_read_out(3), MED_ERROR_IN => io_error_in, @@ -504,7 +503,7 @@ begin INT_DATAREADY_IN => io_dataready_out, INT_DATA_IN => io_data_out, INT_PACKET_NUM_IN => io_packet_num_out, - INT_READ_OUT => io_read_out, + INT_READ_OUT => io_read_in, CTRL => (others => '0'), STAT => open );