From: Jan Michel Date: Thu, 9 Nov 2017 14:04:55 +0000 (+0100) Subject: fix asserts for buffer sizes X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=cd7ca823ac7a600b3d919b953ee31800ead6d612;p=trbnet.git fix asserts for buffer sizes --- diff --git a/special/handler_data.vhd b/special/handler_data.vhd index 13a9b21..e99639a 100644 --- a/special/handler_data.vhd +++ b/special/handler_data.vhd @@ -150,7 +150,7 @@ architecture handler_data_arch of handler_data is signal fee_write_overflow : std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0); begin - assert DATA_BUFFER_FULL_THRESH < 2**DATA_BUFFER_DEPTH-2 report "Data buffer threshold too high" severity error; + assert DATA_BUFFER_FULL_THRESH >= (2**DATA_BUFFER_DEPTH)/2 report "Data buffer threshold too high" severity error; assert HEADER_BUFFER_FULL_THRESH < 2**HEADER_BUFFER_DEPTH-2 report "Header buffer threshold too high" severity error; --------------------------------------------------------------------------- diff --git a/trb_net16_hub_streaming_port_sctrl_cts.vhd b/trb_net16_hub_streaming_port_sctrl_cts.vhd index d86f376..e3abd80 100644 --- a/trb_net16_hub_streaming_port_sctrl_cts.vhd +++ b/trb_net16_hub_streaming_port_sctrl_cts.vhd @@ -71,7 +71,7 @@ entity trb_net16_hub_streaming_port_sctrl_cts is INIT_CTRL_REGS : std_logic_vector(2**(4)*32-1 downto 0) := x"00000000_00000000_00000000_00000000" & x"00000000_00000000_00000000_00000000" & - x"00000000_00000000_00003077_00000000" & + x"00000000_00000000_000050FF_00000000" & x"FFFFFFFF_00000000_FFFFFFFF_FFFFFFFF" ); diff --git a/trb_net16_regIO.vhd b/trb_net16_regIO.vhd index 0777d40..92ec025 100644 --- a/trb_net16_regIO.vhd +++ b/trb_net16_regIO.vhd @@ -101,9 +101,9 @@ end entity; architecture trb_net16_regIO_arch of trb_net16_regIO is -- Placer Directives - attribute HGROUP : string; +-- attribute HGROUP : string; -- for whole architecture - attribute HGROUP of trb_net16_regIO_arch : architecture is "RegIO_group"; +-- attribute HGROUP of trb_net16_regIO_arch : architecture is "RegIO_group"; constant COMPILE_TIME_LIB : std_logic_vector(31 downto 0) := conv_std_logic_vector(VERSION_NUMBER_TIME,32);