From: Jan Michel Date: Thu, 23 Jul 2020 14:27:59 +0000 (+0200) Subject: add another trigger output to central hub X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=cee6251d26704bd59b35a43cc92ce542c881c713;p=trb3.git add another trigger output to central hub --- diff --git a/base/trb3_central_gbe.lpf b/base/trb3_central_gbe.lpf index 4816cbf..6c72d8b 100644 --- a/base/trb3_central_gbe.lpf +++ b/base/trb3_central_gbe.lpf @@ -50,13 +50,15 @@ IOBUF PORT "TRIGGER_LEFT" IO_TYPE=LVDS25 ; LOCATE COMP "TRIGGER_OUT" SITE "V7"; IOBUF PORT "TRIGGER_OUT" IO_TYPE=LVDS25 ; -LOCATE COMP "TRIGGER_OUT2" SITE "W8"; #was EXT_TRIG_4 +LOCATE COMP "TRIGGER_OUT2" SITE "W4"; #was EXT_TRIG_2 +LOCATE COMP "TRIGGER_OUT3" SITE "W8"; #was EXT_TRIG_4 IOBUF PORT "TRIGGER_OUT2" IO_TYPE=LVDS25 ; +IOBUF PORT "TRIGGER_OUT3" IO_TYPE=LVDS25 ; #Additional lines on Trigger-RJ-45 LOCATE COMP "TRIGGER_EXT_2" SITE "W2"; -LOCATE COMP "TRIGGER_EXT_3" SITE "W4"; #was EXT_TRIG_2 +# LOCATE COMP "TRIGGER_EXT_3" SITE "W4"; #was EXT_TRIG_2 #LOCATE COMP "TRIGGER_EXT_4" SITE "W8"; #was EXT_TRIG_4 DEFINE PORT GROUP "TRIGGER_EXT_group" "TRIGGER_EXT*" ; IOBUF GROUP "TRIGGER_EXT_group" IO_TYPE=LVDS25; diff --git a/trb3_gbe/config_compile_frankfurt.pl b/trb3_gbe/config_compile_frankfurt.pl index 5dcc86d..8c32dc2 100644 --- a/trb3_gbe/config_compile_frankfurt.pl +++ b/trb3_gbe/config_compile_frankfurt.pl @@ -1,11 +1,11 @@ TOPNAME => "trb3_central_gbe", lm_license_file_for_synplify => "27020\@jspc29", #"27000\@lxcad01.gsi.de"; lm_license_file_for_par => "1702\@hadeb05.gsi.de", -lattice_path => '/d/jspc29/lattice/diamond/3.10_x64', +lattice_path => '/d/jspc29/lattice/diamond/3.11_x64', synplify_path => '/d/jspc29/lattice/synplify/O-2018.09-SP1/', # synplify_path => '/d/jspc29/lattice/synplify/K-2015.09/', #synplify_command => "/opt/lattice/diamond/3.5_x64/bin/lin64/synpwrap -fg -options", -# synplify_command => "/opt/synplicity/K-2015.09/bin/synplify_premier_dp", +synplify_command => "/d/jspc29/lattice/synplify/O-2018.09-SP1/bin/synplify_premier", nodelist_file => '../nodes_lxhadeb07.txt', diff --git a/trb3_gbe/trb3_central_gbe.vhd b/trb3_gbe/trb3_central_gbe.vhd index d5e4235..87aaf95 100644 --- a/trb3_gbe/trb3_central_gbe.vhd +++ b/trb3_gbe/trb3_central_gbe.vhd @@ -30,6 +30,7 @@ entity trb3_central_gbe is -- TRIGGER_EXT : in std_logic_vector(4 downto 2); --additional trigger from RJ45 TRIGGER_OUT : out std_logic; --trigger to second input of fan-out TRIGGER_OUT2 : out std_logic; --trigger output on RJ45 + TRIGGER_OUT3 : out std_logic; --trigger output on RJ45 --Serdes CLK_SERDES_INT_LEFT : in std_logic; --Clock Manager 2/0, 200 MHz, only in case of problems CLK_SERDES_INT_RIGHT : in std_logic; --Clock Manager 1/0, off, 125 MHz possible @@ -786,12 +787,13 @@ monitor_inputs_i(19 downto 16) <= trig_gen_out_i(3 downto 0); gen_no_TRIG_LOGIC : if INCLUDE_TRIGGER_LOGIC = 0 generate - TRIGGER_OUT2 <= TRIGGER_RIGHT; + TRIGGER_OUT3 <= TRIGGER_RIGHT; end generate; gen_TRIG_LOGIC : if INCLUDE_TRIGGER_LOGIC = 1 generate TRIGGER_OUT2 <= trig_gen_out_i(0); - CLK_EXT(3) <= trig_gen_out_i(1); - CLK_EXT(4) <= trig_gen_out_i(2); + TRIGGER_OUT3 <= trig_gen_out_i(1); + CLK_EXT(3) <= trig_gen_out_i(2); + CLK_EXT(4) <= trig_gen_out_i(3); end generate; ---------------------------------------------------------------------------