From: hadeshyp Date: Tue, 8 May 2012 11:58:39 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~78 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=cf6d73bdfb9bcbb52b5a96b41f7fc4458fd94024;p=trbnet.git *** empty log message *** --- diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/dummy.sty b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/dummy.sty new file mode 100644 index 0000000..8b13789 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/dummy.sty @@ -0,0 +1 @@ + diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/generate_core.tcl b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/generate_core.tcl new file mode 100755 index 0000000..1561878 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/generate_core.tcl @@ -0,0 +1,39 @@ + +#!/usr/local/bin/wish + +set Para(cmd) "" +if ![catch {set temp $argc} result] { + if {$argc > 0} { + for {set i 0} {$i < $argc} {incr i 2} { + set temp [lindex $argv $i] + set temp [string range $temp 1 end] + lappend argv_list $temp + lappend value_list [lindex $argv [expr $i+1]] + } + foreach argument $argv_list value $value_list { + switch $argument { + "cmd" {set Para(cmd) $value;} + } + } + } +} + +set Para(ProjectPath) "/home/greg/projects/trbnet/gbe2_ecp2m/ipcores/sgmii_gbe_pcs32" +set Para(ModuleName) "sgmii_gbe_pcs32" +set Para(lib) "/home/greg/sgmii_gbepcs_v3.4/lib" +set Para(CoreName) "SGMII/Gb Ethernet PCS" +set Para(family) "latticeecp2m" +set Para(Family) "ep5m00" +set Para(design) "VHDL" + +lappend auto_path "/home/greg/sgmii_gbepcs_v3.4/gui" + +lappend auto_path "/home/greg/sgmii_gbepcs_v3.4/script" +package require Core_Generate + +lappend auto_path "/opt/lattice/ispLEVER8.1/isptools/ispcpld/tcltk/lib/ipwidgets/ispipbuilder/../runproc" +package require runcmd + +set Para(install_dir) "/opt/lattice/ispLEVER8.1/isptools/ispcpld/tcltk/lib/ipwidgets/ispipbuilder/../../../../.." + +set Para(result) [GenerateCore] diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/pmi_fifo_dcLEan71301616161010.ngo b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/pmi_fifo_dcLEan71301616161010.ngo new file mode 100755 index 0000000..d3c4b97 Binary files /dev/null and b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/pmi_fifo_dcLEan71301616161010.ngo differ diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32.cmd b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32.cmd new file mode 100644 index 0000000..7f1592a --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32.cmd @@ -0,0 +1,14 @@ +PROJECT: sgmii_gbe_pcs32 + working_path: "/home/greg/projects/trbnet/gbe2_ecp2m/ipcores/sgmii_gbe_pcs32/syn_results" + module: sgmii_gbe_pcs32 + + verilog_file_list: "/opt/lattice/ispLEVER8.1/isptools/ispcpld/tcltk/lib/ipwidgets/ispipbuilder/../../../../../cae_library/synthesis/verilog/ecp2m.v" "/home/greg/projects/trbnet/gbe2_ecp2m/ipcores/sgmii_gbe_pcs32/sgmii_gbe_pcs32_wrapper.v" "/home/greg/sgmii_gbepcs_v3.4/lib/../sgmii_pcs_eval/username/src/ertl/ertl.v" + + suffix_name: edi + output_file_name: sgmii_gbe_pcs32 + write_prf: false + vlog_std_v2001: true + disable_io_insertion: true + STYFILENAME: dummy.sty + +force_gsr: false diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32.lpc b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32.lpc new file mode 100755 index 0000000..c91da93 --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32.lpc @@ -0,0 +1,26 @@ +[Device] +Family=ep5m00 +PartType=LFE2M100E +PartName=LFE2M100E-5F900C +SpeedGrade=-5 +Package=FPBGA900 +OperatingCondition=COM +Status=P + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=IPCFG +CoreStatus=Demo +CoreName=SGMII/Gb Ethernet PCS +CoreRevision=3.2 +ModuleName=sgmii_gbe_pcs32 +SourceFormat=VHDL +ParameterFileVersion=1.0 +Date=06/17/2011 +Time=11:34:20 + +[Parameters] +RX_CTC=2 +RX_CTC_LOW=16 +RX_CTC_HIGH=32 +EasyConnect=1 diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32.ngo b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32.ngo new file mode 100755 index 0000000..4bfd6e0 Binary files /dev/null and b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32.ngo differ diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32_bb.v b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32_bb.v new file mode 100755 index 0000000..8930efc --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32_bb.v @@ -0,0 +1,127 @@ +//============================================================================= +// Verilog module generated by IPExpress 12/10/2009 15:57:55 +// Filename: sgmii_gbe_pcs32_bb.v +// Copyright(c) 2008 Lattice Semiconductor Corporation. All rights reserved. +//============================================================================= + +//--------------------------------------------------------------- +// sgmii_gbe_pcs32 synthesis black box definition +//--------------------------------------------------------------- + +/* WARNING - Changes to this file should be performed by re-running IPexpress +or modifying the .LPC file and regenerating the core. Other changes may lead +to inconsistent simulation and/or implemenation results */ + + + + + + +module sgmii_gbe_pcs32 ( + // Control Interface + rst_n, + signal_detect, + gbe_mode, + sgmii_mode, + operational_rate, + debug_link_timer_short, + rx_compensation_err, + + // G/MII Interface + tx_clock_enable_sink , + tx_clock_enable_source , + tx_clk_125, + tx_d, + tx_en, + tx_er, + + rx_clock_enable_sink , + rx_clock_enable_source , + rx_clk_125, + rx_d, + rx_dv, + rx_er, + col, + crs, + + // 8-bit Interface + tx_data, + tx_kcntl, + tx_disparity_cntl, + + serdes_recovered_clk, + rx_data, + rx_kcntl, + rx_even , + rx_disp_err , + rx_cv_err , + rx_err_decode_mode , + + // Managment Control Outputs + mr_an_complete, + mr_page_rx, + mr_lp_adv_ability, + + // Managment Control Inputs + mr_main_reset, + mr_an_enable, + mr_restart_an, + mr_adv_ability + ); + + + +// Control Interface +input rst_n ; +input signal_detect ; +input gbe_mode ; +input sgmii_mode ; +input [1:0] operational_rate ; +input debug_link_timer_short ; +output rx_compensation_err ; + +// G/MII Interface +input tx_clock_enable_sink; +output tx_clock_enable_source; +input tx_clk_125 ; +input [7:0] tx_d ; +input tx_en ; +input tx_er ; + +input rx_clock_enable_sink; +output rx_clock_enable_source; +input rx_clk_125 ; +output [7:0] rx_d ; +output rx_dv ; +output rx_er ; +output col ; +output crs ; + +// 8-bit Interface +output [7:0] tx_data ; +output tx_kcntl; +output tx_disparity_cntl; + +input serdes_recovered_clk ; +input [7:0] rx_data ; +input rx_even ; +input rx_kcntl; +input rx_disp_err ; +input rx_cv_err ; +input rx_err_decode_mode ; + +// Managment Control Outputs +output mr_an_complete; +output mr_page_rx; +output [15:0] mr_lp_adv_ability; + +// Managment Control Inputs +input mr_main_reset; +input mr_an_enable; +input mr_restart_an; +input [15:0] mr_adv_ability; + + + +endmodule + diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32_beh.v b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32_beh.v new file mode 100755 index 0000000..aa3390e --- /dev/null +++ b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs32/sgmii_gbe_pcs32_beh.v @@ -0,0 +1,5165 @@ +// sgmii_pcs_core_beh_pp.v generated by Lattice IP Model Creator version 1 +// created on Wed Mar 11 09:41:50 CST 2009 +// Copyright(c) 2007 Lattice Semiconductor Corporation. All rights reserved +// obfuscator_exe version 1.mar0807 + +// top + + +`define SGMII_NO_ENC + +`define SGMII_YES_CTC_DYNAMIC + +`define SGMII_FIFO_FAMILY_ECP2M + +`define SGMII_YES_SINGLE_CLOCK + + + + +`timescale 1 ns / 100 ps +module xwfb762 (icdbb13, fndd899, dzec4ce, ww62677, nt133b8, je99dc6, + gocee32, ay77190, dob8c81, gbc640b, db3205d, cb902ef, fp8177d, + pubbee); +input [17:0] icdbb13; +input fndd899; +input dzec4ce; +input ww62677; +input nt133b8; +input je99dc6; +input gocee32; +input [9:0] ay77190; +input [9:0] dob8c81; +output [17:0] gbc640b; +output db3205d; +output cb902ef; +output fp8177d; +output pubbee; +reg [17 : 0] hqbd2d7; +reg jpe96ba; +reg uv4b5d7; +reg pf5aebd; +reg jpd75ef; +reg fpbaf7e; +reg thd7bf6; +reg [9 : 0] jebdfb7; +reg [9 : 0] ayefdbb; +reg [2047:0] bl7edd9; +wire [8:0] nrf6ece; + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +localparam zmb7676 = 9,wlbb3b3 = 32'hfdfff40b; +localparam [31:0] czd9d9d = wlbb3b3; +localparam vi76760 = wlbb3b3 & 4'hf; +localparam [11:0] gq9d818 = 'h7ff; +wire [(1 << vi76760) -1:0] kd60607; +reg [zmb7676-1:0] yz181ef; +reg [vi76760-1:0] oh7beb [0:1]; +reg [vi76760-1:0] qgefae7; +reg ww7d739; +integer xweb9c8; +integer ay5ce43; + +AND2 ofe7218 (.A(ww62677), .B(fnc8624), .Z(su43126)); INV ux18931 (.A(qgc498c), .Z(fnc8624)); AND2 ir26308 (.A(nt133b8), .B(tw8c221), .Z(dz6110a)); INV ux8857 (.A(of442bd), .Z(tw8c221)); OR2 gqaf61 (.A(je99dc6), .B(gocee32), .Z(vvec21b)); XOR2 nr610df (.A(wl86ff), .B(yk437fc), .Z(pu1bfe1)); XOR2 hodff0b (.A(yk437fc), .B(jpfc2d8), .Z(xwe16c6)); XOR2 xyb633 (.A(jpfc2d8), .B(hbd8cdc), .Z(shc66e6)); XOR2 ux33735 (.A(hbd8cdc), .B(mrdcd6f), .Z(hbe6b7c)); XOR2 zm35be7 (.A(mrdcd6f), .B(ip6f9da), .Z(lq7ced5)); XOR2 she76ab (.A(ip6f9da), .B(nedaae1), .Z(rgd570b)); XOR2 uxab85b (.A(nedaae1), .B(hoe16e9), .Z(zmb74b)); XOR2 cz5ba5b (.A(hoe16e9), .B(nre96ef), .Z(al4b77f)); XOR2 kd5bbfd (.A(nre96ef), .B(ykeff6e), .Z(kd7fb71)); XOR2 gbfdb8c (.A(ykeff6e), .B(dz6e32c), .Z(vv71966)); XOR2 pu8cb37 (.A(al659bb), .B(sj2cddc), .Z(jc66ee4)); XOR2 rv37723 (.A(sj2cddc), .B(gbdc8fc), .Z(jce47e4)); XOR2 qv23f23 (.A(gbdc8fc), .B(hbfc8e9), .Z(lqe474f)); XOR2 gd23a7b (.A(hbfc8e9), .B(hbe9eed), .Z(bl4f76e)); XOR2 th7bb77 (.A(hbe9eed), .B(wjeddf6), .Z(rt6efb4)); XOR2 ea77da7 (.A(wjeddf6), .B(blf69c4), .Z(irb4e26)); XOR2 pua7135 (.A(blf69c4), .B(ayc4d57), .Z(gd26ab9)); XOR2 ri355cd (.A(ayc4d57), .B(dm57357), .Z(gqb9aba)); XOR2 fncd5d1 (.A(dm57357), .B(dm57475), .Z(jrba3aa)); XOR2 ayd1d57 (.A(dm57475), .B(qt755c6), .Z(zzaae34)); defparam rg571a7.initval = 16'h6996 ; ROM16X1 rg571a7 (.AD3(yxc69d3), .AD2(ks34e9c), .AD1(fca74e1), .AD0(fc3a70a), .DO0(wjd3853)) ; defparam hq9c29d.initval = 16'h6996 ; ROM16X1 hq9c29d (.AD3(gqa75b), .AD2(ea53ad9), .AD1(sw9d6c9), .AD0(faeb649), .DO0(kd5b24a)) ; defparam qtd9254.initval = 16'h6996 ; ROM16X1 qtd9254 (.AD3(fca74e1), .AD2(fc3a70a), .AD1(ip54b06), .AD0(ip54b06), .DO0(ux2c1a6)) ; defparam en60d35.initval = 16'h6996 ; ROM16X1 en60d35 (.AD3(ks34e9c), .AD2(fca74e1), .AD1(fc3a70a), .AD0(ip54b06), .DO0(fa66258)) ; defparam ec312c2.initval = 16'h6996 ; ROM16X1 ec312c2 (.AD3(faeb649), .AD2(yxc69d3), .AD1(ks34e9c), .AD0(ux2c1a6), .DO0(mg82af9)) ; defparam sw157c8.initval = 16'h6996 ; ROM16X1 sw157c8 (.AD3(sw9d6c9), .AD2(faeb649), .AD1(yxc69d3), .AD0(fa66258), .DO0(yzddb5)) ; defparam vv6edad.initval = 16'h6996 ; ROM16X1 vv6edad (.AD3(ea53ad9), .AD2(sw9d6c9), .AD1(faeb649), .AD0(wjd3853), .DO0(al7a1d4)) ; defparam hod0ea5.initval = 16'h6996 ; ROM16X1 hod0ea5 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(ip54b06), .AD0(ip54b06), .DO0(en5143a)) ; defparam ux8a1d5.initval = 16'h6996 ; ROM16X1 ux8a1d5 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(tud5b8a), .AD0(ip54b06), .DO0(ld6e292)) ; defparam hb71496.initval = 16'h6996 ; ROM16X1 hb71496 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(xy96d51), .AD0(tud5b8a), .DO0(jrb5458)) ; defparam vxaa2c6.initval = 16'h6996 ; ROM16X1 vxaa2c6 (.AD3(bn8b1b5), .AD2(xy96d51), .AD1(tud5b8a), .AD0(ip54b06), .DO0(xlb5ea4)) ; defparam hdaf527.initval = 16'h6996 ; ROM16X1 hdaf527 (.AD3(wjd3853), .AD2(kd5b24a), .AD1(xlb5ea4), .AD0(ip54b06), .DO0(byf4138)) ; defparam jra09c2.initval = 16'h6996 ; ROM16X1 jra09c2 (.AD3(rv270b3), .AD2(zz3859e), .AD1(xjc2cf6), .AD0(fp167b6), .DO0(wyb3db6)) ; defparam vx9edb0.initval = 16'h6996 ; ROM16X1 vx9edb0 (.AD3(mgb6c3e), .AD2(qib61f0), .AD1(dbb0f81), .AD0(aa87c0f), .DO0(aa3e078)) ; defparam gof03c1.initval = 16'h6996 ; ROM16X1 gof03c1 (.AD3(xjc2cf6), .AD2(fp167b6), .AD1(ip54b06), .AD0(ip54b06), .DO0(rg47205)) ; defparam mt3902b.initval = 16'h6996 ; ROM16X1 mt3902b (.AD3(zz3859e), .AD2(xjc2cf6), .AD1(fp167b6), .AD0(ip54b06), .DO0(pfe6e08)) ; defparam nt37047.initval = 16'h6996 ; ROM16X1 nt37047 (.AD3(aa87c0f), .AD2(rv270b3), .AD1(zz3859e), .AD0(rg47205), .DO0(cmfa153)) ; defparam xwd0a99.initval = 16'h6996 ; ROM16X1 xwd0a99 (.AD3(dbb0f81), .AD2(aa87c0f), .AD1(rv270b3), .AD0(pfe6e08), .DO0(sh5441a)) ; defparam baa20d5.initval = 16'h6996 ; ROM16X1 baa20d5 (.AD3(qib61f0), .AD2(dbb0f81), .AD1(aa87c0f), .AD0(wyb3db6), .DO0(mr5165e)) ; defparam hd8b2f5.initval = 16'h6996 ; ROM16X1 hd8b2f5 (.AD3(wyb3db6), .AD2(aa3e078), .AD1(ip54b06), .AD0(ip54b06), .DO0(fa7914e)) ; defparam thc8a72.initval = 16'h6996 ; ROM16X1 thc8a72 (.AD3(wyb3db6), .AD2(aa3e078), .AD1(ww72fa5), .AD0(ip54b06), .DO0(cbbe941)) ; defparam tuf4a0c.initval = 16'h6996 ; ROM16X1 tuf4a0c (.AD3(wyb3db6), .AD2(aa3e078), .AD1(phc7fd), .AD0(ww72fa5), .DO0(gq1ff73)) ; defparam meffb99.initval = 16'h6996 ; ROM16X1 meffb99 (.AD3(byee645), .AD2(phc7fd), .AD1(ww72fa5), .AD0(ip54b06), .DO0(th454df)) ; defparam xy2a6fe.initval = 16'h6996 ; ROM16X1 xy2a6fe (.AD3(wyb3db6), .AD2(aa3e078), .AD1(th454df), .AD0(ip54b06), .DO0(yz90edd)) ; XOR2 sw876ec (.A(dz6e32c), .B(fp167b6), .Z(xjdd849)); XOR2 rtec24e (.A(fc3a70a), .B(qt755c6), .Z(me49dfe)); defparam cz4eff1.initval = 16'h0410 ; ROM16X1 cz4eff1 (.AD3(fpbfc60), .AD2(qt755c6), .AD1(fc3a70a), .AD0(ip54b06), .DO0(en60cda)) ; defparam zz66d4.initval = 16'h1004 ; ROM16X1 zz66d4 (.AD3(fpbfc60), .AD2(qt755c6), .AD1(fc3a70a), .AD0(ip54b06), .DO0(db3dcc3)) ; defparam faee61f.initval = 16'h0140 ; ROM16X1 faee61f (.AD3(wy987d3), .AD2(dz6e32c), .AD1(fp167b6), .AD0(ip54b06), .DO0(ipd3301)) ; defparam vk9980c.initval = 16'h4001 ; ROM16X1 vk9980c (.AD3(wy987d3), .AD2(dz6e32c), .AD1(fp167b6), .AD0(ip54b06), .DO0(ba14af1)) ; INV tja578a (.A(qi2bc57), .Z(yx5e2b9)); AND2 xwf15cc (.A(cb8ae62), .B(yx5e2b9), .Z(ukb98a2)); AND2 mrcc511 (.A(cb8ae62), .B(qi2bc57), .Z(doa230b)); defparam pu1185a.CSDECODE_R = 3'b000 ; defparam pu1185a.CSDECODE_W = 3'b000 ; defparam pu1185a.GSR = "ENABLED" ; defparam pu1185a.RESETMODE = "ASYNC" ; defparam pu1185a.REGMODE = "NOREG" ; defparam pu1185a.DATA_WIDTH_R = 18 ; defparam pu1185a.DATA_WIDTH_W = 18 ; PDP16KA pu1185a (.DI0(icdbb13[0]), .DI1(icdbb13[1]), .DI2(icdbb13[2]), .DI3(icdbb13[3]), .DI4(icdbb13[4]), .DI5(icdbb13[5]), .DI6(icdbb13[6]), .DI7(icdbb13[7]), .DI8(icdbb13[8]), .DI9(icdbb13[9]), .DI10(icdbb13[10]), .DI11(icdbb13[11]), .DI12(icdbb13[12]), .DI13(icdbb13[13]), .DI14(icdbb13[14]), .DI15(icdbb13[15]), .DI16(icdbb13[16]), .DI17(icdbb13[17]), .DI18(icdbb13[0]), .DI19(icdbb13[1]), .DI20(icdbb13[2]), .DI21(icdbb13[3]), .DI22(icdbb13[4]), .DI23(icdbb13[5]), .DI24(icdbb13[6]), .DI25(icdbb13[7]), .DI26(icdbb13[8]), .DI27(icdbb13[9]), .DI28(icdbb13[10]), .DI29(icdbb13[11]), .DI30(icdbb13[12]), .DI31(icdbb13[13]), .DI32(icdbb13[14]), .DI33(icdbb13[15]), .DI34(icdbb13[16]), .DI35(icdbb13[17]), .ADW0(vve93e5), .ADW1(vve93e5), .ADW2(ip54b06), .ADW3(ip54b06), .ADW4(uie5e73), .ADW5(vk2f398), .ADW6(ho79cc2), .ADW7(kqce613), .ADW8(lq73099), .ADW9(mg984cb), .ADW10(ldc2659), .ADW11(rv132cf), .ADW12(pu9967e), .ADW13(gocb3f3), .CEW(su43126), .CLKW(fndd899), .WE(vve93e5), .CSW0(ip54b06), .CSW1(ip54b06), .CSW2(ip54b06), .ADR0(ip54b06), .ADR1(ip54b06), .ADR2(ip54b06), .ADR3(ip54b06), .ADR4(icde57a), .ADR5(alf2bd0), .ADR6(an95e84), .ADR7(lfaf424), .ADR8(gb7a126), .ADR9(dmd0935), .ADR10(jr849aa), .ADR11(ym24d57), .ADR12(cb26ab8), .ADR13(ls355c5), .CER(dz6110a), .CLKR(dzec4ce), .CSR0(ip54b06), .CSR1(ip54b06), .CSR2(ip54b06), .RST(je99dc6), .DO0(gbc640b[0]), .DO1(gbc640b[1]), .DO2(gbc640b[2]), .DO3(gbc640b[3]), .DO4(gbc640b[4]), .DO5(gbc640b[5]), . +DO6(gbc640b[6]), .DO7(gbc640b[7]), .DO8(gbc640b[8]), .DO9(gbc640b[9]), .DO10(gbc640b[10]), .DO11(gbc640b[11]), .DO12(gbc640b[12]), .DO13(gbc640b[13]), .DO14(gbc640b[14]), .DO15(gbc640b[15]), .DO16(gbc640b[16]), .DO17(gbc640b[17]), .DO18(), .DO19(), .DO20(), .DO21(), .DO22(), .DO23(), .DO24(), .DO25(), .DO26(), .DO27(), .DO28(), .DO29(), .DO30(), .DO31(), .DO32(), .DO33(), .DO34(), .DO35()) ; defparam mt9f303.GSR = "ENABLED" ; FD1P3BX mt9f303 (.D(mrcc0e7), .SP(su43126), .CK(fndd899), .PD(je99dc6), .Q(wl86ff)) ; defparam kf3cbb5.GSR = "ENABLED" ; FD1P3DX kf3cbb5 (.D(co2ed68), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(yk437fc)) ; defparam of415d9.GSR = "ENABLED" ; FD1P3DX of415d9 (.D(rg57660), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(jpfc2d8)) ; defparam ir2b25.GSR = "ENABLED" ; FD1P3DX ir2b25 (.D(aaac960), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hbd8cdc)) ; defparam gqcad.GSR = "ENABLED" ; FD1P3DX gqcad (.D(fp32b4f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(mrdcd6f)) ; defparam rt7e8d6.GSR = "ENABLED" ; FD1P3DX rt7e8d6 (.D(swa35b2), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ip6f9da)) ; defparam gd9034b.GSR = "ENABLED" ; FD1P3DX gd9034b (.D(qvd2ef), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(nedaae1)) ; defparam ps7c711.GSR = "ENABLED" ; FD1P3DX ps7c711 (.D(jr1c457), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hoe16e9)) ; defparam vxbf8e3.GSR = "ENABLED" ; FD1P3DX vxbf8e3 (.D(lde38f6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(nre96ef)) ; defparam lsb7fde.GSR = "ENABLED" ; FD1P3DX lsb7fde (.D(meff796), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ykeff6e)) ; defparam kfb1422.GSR = "ENABLED" ; FD1P3DX kfb1422 (.D(bl508a5), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(dz6e32c)) ; defparam aa2edd6.GSR = "ENABLED" ; FD1P3DX aa2edd6 (.D(pu1bfe1), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(oh8a7a4)) ; defparam qg53d25.GSR = "ENABLED" ; FD1P3DX qg53d25 (.D(xwe16c6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ld4f71c)) ; defparam vv7b8e7.GSR = "ENABLED" ; FD1P3DX vv7b8e7 (.D(shc66e6), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(uif5fa8)) ; defparam jrafd41.GSR = "ENABLED" ; FD1P3DX jrafd41 (.D(hbe6b7c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(jp7e0e8)) ; defparam ayf0747.GSR = "ENABLED" ; FD1P3DX ayf0747 (.D(lq7ced5), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(hbc2b1e)) ; defparam ec158f6.GSR = "ENABLED" ; FD1P3DX ec158f6 (.D(rgd570b), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(rv816fe)) ; defparam hqb7f6.GSR = "ENABLED" ; FD1P3DX hqb7f6 (.D(zmb74b), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(co9d6b8)) ; defparam hoeb5c1.GSR = "ENABLED" ; FD1P3DX hoeb5c1 (.D(al4b77f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(xw57c80)) ; defparam qvbe403.GSR = "ENABLED" ; FD1P3DX qvbe403 (.D(kd7fb71), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(gbedb34)) ; defparam qg6d9a4.GSR = "ENABLED" ; FD1P3DX qg6d9a4 (.D(vv71966), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ep266b)) ; defparam nt1335d.GSR = "ENABLED" ; FD1P3DX nt1335d (.D(dz6e32c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ay72dd2)) ; defparam zm96e90.GSR = "ENABLED" ; FD1P3DX zm96e90 (.D(wl86ff), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(uie5e73)) ; defparam vidfd5b.GSR = "ENABLED" ; FD1P3DX vidfd5b (.D(yk437fc), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(vk2f398)) ; defparam hod0902.GSR = "ENABLED" ; FD1P3DX hod0902 (.D(jpfc2d8), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ho79cc2)) ; defparam mgb9768.GSR = "ENABLED" ; FD1P3DX mgb9768 (.D(hbd8cdc), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(kqce613)) ; defparam mt2a70.GSR = "ENABLED" ; FD1P3DX mt2a70 (.D(mrdcd6f), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(lq73099)) ; defparam yz8b71.GSR = "ENABLED" ; FD1P3DX yz8b71 (.D(ip6f9da), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(mg984cb)) ; defparam fn7edcd.GSR = "ENABLED" ; FD1P3DX fn7edcd (.D(nedaae1), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(ldc2659)) ; defparam qib33fb.GSR = "ENABLED" ; FD1P3DX qib33fb (.D(hoe16e9), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(rv132cf)) ; defparam vi52aeb.GSR = "ENABLED" ; FD1P3DX vi52aeb (.D(nre96ef), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(pu9967e)) ; defparam czed6ae.GSR = "ENABLED" ; FD1P3DX czed6ae (.D(ykeff6e), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(gocb3f3)) ; defparam ea7756d.GSR = "ENABLED" ; FD1P3DX ea7756d (.D(dz6e32c), .SP(su43126), .CK(fndd899), .CD(je99dc6), .Q(wy987d3)) ; defparam ic72455.GSR = "ENABLED" ; FD1P3BX ic72455 (.D(tj91548), .SP(dz6110a), .CK(dzec4ce), .PD(vvec21b), .Q(al659bb)) ; defparam vi479cb.GSR = "ENABLED" ; FD1P3DX vi479cb (.D(qte72d5), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(sj2cddc)) ; defparam qiac87a.GSR = "ENABLED" ; FD1P3DX qiac87a (.D(gq21e87), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gbdc8fc)) ; defparam jr38351.GSR = "ENABLED" ; FD1P3DX jr38351 (.D(and471), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(hbfc8e9)) ; defparam ba8c93f.GSR = "ENABLED" ; FD1P3DX ba8c93f (.D(mg24fde), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(hbe9eed)) ; defparam uif0ed0.GSR = "ENABLED" ; FD1P3DX uif0ed0 (.D(aa3b409), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(wjeddf6)) ; defparam ui494f6.GSR = "ENABLED" ; FD1P3DX ui494f6 (.D(su53d87), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(blf69c4)) ; defparam yz39ff9.GSR = "ENABLED" ; FD1P3DX yz39ff9 (.D(mr7fe6a), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ayc4d57)) ; defparam al543f5.GSR = "ENABLED" ; FD1P3DX al543f5 (.D(ymfd6c), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dm57357)) ; defparam kd60c53.GSR = "ENABLED" ; FD1P3DX kd60c53 (.D(qi314e7), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dm57475)) ; defparam nt38a54.GSR = "ENABLED" ; FD1P3DX nt38a54 (.D(gd2953a), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(qt755c6)) ; defparam byd5d12.GSR = "ENABLED" ; FD1P3DX byd5d12 (.D(jc66ee4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(sj95ca1)) ; defparam yzae50c.GSR = "ENABLED" ; FD1P3DX yzae50c (.D(jce47e4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ls20f3f)) ; defparam cb79fa.GSR = "ENABLED" ; FD1P3DX cb79fa (.D(lqe474f), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(fpa5ac0)) ; defparam hd2d606.GSR = "ENABLED" ; FD1P3DX hd2d606 (.D(bl4f76e), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(uxbe6f6)) ; defparam nrf37b5.GSR = "ENABLED" ; FD1P3DX nrf37b5 (.D(rt6efb4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ip7f3bf)) ; defparam vvf9dfd.GSR = "ENABLED" ; FD1P3DX vvf9dfd (.D(irb4e26), +.SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ww781a8)) ; defparam xwc0d40.GSR = "ENABLED" ; FD1P3DX xwc0d40 (.D(gd26ab9), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ba1e4f6)) ; defparam wjf27b2.GSR = "ENABLED" ; FD1P3DX wjf27b2 (.D(gqb9aba), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gdabfd5)) ; defparam xj5fead.GSR = "ENABLED" ; FD1P3DX xj5fead (.D(jrba3aa), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ps79094)) ; defparam vvc84a2.GSR = "ENABLED" ; FD1P3DX vvc84a2 (.D(zzaae34), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gqb3bde)) ; defparam uk9def0.GSR = "ENABLED" ; FD1P3DX uk9def0 (.D(qt755c6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gqc04)) ; defparam db6021.GSR = "ENABLED" ; FD1P3DX db6021 (.D(al659bb), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(icde57a)) ; defparam rgd540c.GSR = "ENABLED" ; FD1P3DX rgd540c (.D(sj2cddc), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(alf2bd0)) ; defparam enf7f9b.GSR = "ENABLED" ; FD1P3DX enf7f9b (.D(gbdc8fc), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(an95e84)) ; defparam cb33fe6.GSR = "ENABLED" ; FD1P3DX cb33fe6 (.D(hbfc8e9), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(lfaf424)) ; defparam xl28fcf.GSR = "ENABLED" ; FD1P3DX xl28fcf (.D(hbe9eed), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(gb7a126)) ; defparam ls93ebd.GSR = "ENABLED" ; FD1P3DX ls93ebd (.D(wjeddf6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(dmd0935)) ; defparam wwe0e99.GSR = "ENABLED" ; FD1P3DX wwe0e99 (.D(blf69c4), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(jr849aa)) ; defparam uk2b8fe.GSR = "ENABLED" ; FD1P3DX uk2b8fe (.D(ayc4d57), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ym24d57)) ; defparam rt4f2d3.GSR = "ENABLED" ; FD1P3DX rt4f2d3 (.D(dm57357), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(cb26ab8)) ; defparam osd1d61.GSR = "ENABLED" ; FD1P3DX osd1d61 (.D(dm57475), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(ls355c5)) ; defparam ba353e8.GSR = "ENABLED" ; FD1P3DX ba353e8 (.D(qt755c6), .SP(dz6110a), .CK(dzec4ce), .CD(vvec21b), .Q(fpbfc60)) ; defparam xy86158.GSR = "ENABLED" ; FD1S3DX xy86158 (.D(oh8a7a4), .CK(dzec4ce), .CD(je99dc6), .Q(shc3703)) ; defparam tw1b81d.GSR = "ENABLED" ; FD1S3DX tw1b81d (.D(ld4f71c), .CK(dzec4ce), .CD(je99dc6), .Q(neeba75)) ; defparam zk5d3ab.GSR = "ENABLED" ; FD1S3DX zk5d3ab (.D(uif5fa8), .CK(dzec4ce), .CD(je99dc6), .Q(uv5bd24)) ; defparam uide925.GSR = "ENABLED" ; FD1S3DX uide925 (.D(jp7e0e8), .CK(dzec4ce), .CD(je99dc6), .Q(oh29703)) ; defparam ip4b81d.GSR = "ENABLED" ; FD1S3DX ip4b81d (.D(hbc2b1e), .CK(dzec4ce), .CD(je99dc6), .Q(nrec274)) ; defparam bl613a7.GSR = "ENABLED" ; FD1S3DX bl613a7 (.D(rv816fe), .CK(dzec4ce), .CD(je99dc6), .Q(pu3ff3b)) ; defparam suff9da.GSR = "ENABLED" ; FD1S3DX suff9da (.D(co9d6b8), .CK(dzec4ce), .CD(je99dc6), .Q(vid0f0a)) ; defparam uk87852.GSR = "ENABLED" ; FD1S3DX uk87852 (.D(xw57c80), .CK(dzec4ce), .CD(je99dc6), .Q(sj908ca)) ; defparam zz84654.GSR = "ENABLED" ; FD1S3DX zz84654 (.D(gbedb34), .CK(dzec4ce), .CD(je99dc6), .Q(yma69d4)) ; defparam ec34ea1.GSR = "ENABLED" ; FD1S3DX ec34ea1 (.D(ep266b), .CK(dzec4ce), .CD(je99dc6), .Q(tj948d)) ; defparam dz4a46e.GSR = "ENABLED" ; FD1S3DX dz4a46e (.D(ay72dd2), .CK(dzec4ce), .CD(je99dc6), .Q(kq7758f)) ; defparam hdbac79.GSR = "ENABLED" ; FD1S3DX hdbac79 (.D(sj95ca1), .CK(fndd899), .CD(vvec21b), .Q(cmce96b)) ; defparam xj74b58.GSR = "ENABLED" ; FD1S3DX xj74b58 (.D(ls20f3f), .CK(fndd899), .CD(vvec21b), .Q(ldc7df2)) ; defparam ks3ef96.GSR = "ENABLED" ; FD1S3DX ks3ef96 (.D(fpa5ac0), .CK(fndd899), .CD(vvec21b), .Q(bnb0962)) ; defparam nt84b17.GSR = "ENABLED" ; FD1S3DX nt84b17 (.D(uxbe6f6), .CK(fndd899), .CD(vvec21b), .Q(phbf54d)) ; defparam wwfaa6f.GSR = "ENABLED" ; FD1S3DX wwfaa6f (.D(ip7f3bf), .CK(fndd899), .CD(vvec21b), .Q(go7861c)) ; defparam alc30e0.GSR = "ENABLED" ; FD1S3DX alc30e0 (.D(ww781a8), .CK(fndd899), .CD(vvec21b), .Q(qi5b38)) ; defparam fp2d9c1.GSR = "ENABLED" ; FD1S3DX fp2d9c1 (.D(ba1e4f6), .CK(fndd899), .CD(vvec21b), .Q(hqe431)) ; defparam me7218b.GSR = "ENABLED" ; FD1S3DX me7218b (.D(gdabfd5), .CK(fndd899), .CD(vvec21b), .Q(os598c7)) ; defparam wjcc638.GSR = "ENABLED" ; FD1S3DX wjcc638 (.D(ps79094), .CK(fndd899), .CD(vvec21b), .Q(goc453f)) ; defparam zz229f8.GSR = "ENABLED" ; FD1S3DX zz229f8 (.D(gqb3bde), .CK(fndd899), .CD(vvec21b), .Q(thc74dd)) ; defparam ba3a6ef.GSR = "ENABLED" ; FD1S3DX ba3a6ef (.D(gqc04), .CK(fndd899), .CD(vvec21b), .Q(pf7b9f0)) ; defparam uvdcf86.GSR = "ENABLED" ; FD1S3DX uvdcf86 (.D(shc3703), .CK(dzec4ce), .CD(je99dc6), .Q(bn8b1b5)) ; defparam bna5251.GSR = "ENABLED" ; FD1S3DX bna5251 (.D(neeba75), .CK(dzec4ce), .CD(je99dc6), .Q(xy96d51)) ; defparam ww7d1e4.GSR = "ENABLED" ; FD1S3DX ww7d1e4 (.D(uv5bd24), .CK(dzec4ce), .CD(je99dc6), .Q(tud5b8a)) ; defparam ls455a.GSR = "ENABLED" ; FD1S3DX ls455a (.D(oh29703), .CK(dzec4ce), .CD(je99dc6), .Q(gqa75b)) ; defparam ec97337.GSR = "ENABLED" ; FD1S3DX ec97337 (.D(nrec274), .CK(dzec4ce), .CD(je99dc6), .Q(ea53ad9)) ; defparam mec1afa.GSR = "ENABLED" ; FD1S3DX mec1afa (.D(pu3ff3b), .CK(dzec4ce), .CD(je99dc6), .Q(sw9d6c9)) ; defparam pu8cf88.GSR = "ENABLED" ; FD1S3DX pu8cf88 (.D(vid0f0a), .CK(dzec4ce), .CD(je99dc6), .Q(faeb649)) ; defparam ph19281.GSR = "ENABLED" ; FD1S3DX ph19281 (.D(sj908ca), .CK(dzec4ce), .CD(je99dc6), .Q(yxc69d3)) ; defparam fa7cff8.GSR = "ENABLED" ; FD1S3DX fa7cff8 (.D(yma69d4), .CK(dzec4ce), .CD(je99dc6), .Q(ks34e9c)) ; defparam mt1d464.GSR = "ENABLED" ; FD1S3DX mt1d464 (.D(tj948d), .CK(dzec4ce), .CD(je99dc6), .Q(fca74e1)) ; defparam tj288f3.GSR = "ENABLED" ; FD1S3DX tj288f3 (.D(kq7758f), .CK(dzec4ce), .CD(je99dc6), .Q(fc3a70a)) ; defparam byf4ab7.GSR = "ENABLED" ; FD1S3DX byf4ab7 (.D(cmce96b), .CK(fndd899), .CD(vvec21b), .Q(byee645)) ; defparam hodf65c.GSR = "ENABLED" ; FD1S3DX hodf65c (.D(ldc7df2), .CK(fndd899), .CD(vvec21b), .Q(phc7fd)) ; defparam tj13a0c.GSR = "ENABLED" ; FD1S3DX tj13a0c (.D(bnb0962), .CK(fndd899), .CD(vvec21b), .Q(ww72fa5)) ; defparam oh39b78.GSR = "ENABLED" ; FD1S3DX oh39b78 (.D(phbf54d), .CK(fndd899), .CD(vvec21b), .Q(mgb6c3e)) ; defparam je2f10b.GSR = "ENABLED" ; FD1S3DX je2f10b (.D(go7861c), .CK(fndd899), .CD(vvec21b), .Q(qib61f0)) ; defparam cmd105e.GSR = "ENABLED" ; FD1S3DX cmd105e (.D(qi5b38), .CK(fndd899), .CD(vvec21b), .Q(dbb0f81)) ; defparam gq888a2.GSR = "ENABLED" ; FD1S3DX gq888a2 (.D(hqe431), .CK(fndd899), .CD(vvec21b), .Q(aa87c0f)) ; defparam pu8ced2.GSR = "ENABLED" ; FD1S3DX pu8ced2 (.D(os598c7), .CK(fndd899), .CD(vvec21b), .Q(rv270b3)) ; defparam wy982b2.GSR = "ENABLED" ; FD1S3DX wy982b2 (.D(goc453f), .CK(fndd899), .CD(vvec21b), .Q(zz3859e)) ; defparam aa8801f.GSR = "ENABLED" ; FD1S3DX aa8801f (.D(thc74dd), .CK(fndd899), . +CD(vvec21b), .Q(xjc2cf6)) ; defparam wjc6185.GSR = "ENABLED" ; FD1S3DX wjc6185 (.D(pf7b9f0), .CK(fndd899), .CD(vvec21b), .Q(fp167b6)) ; defparam vv6b6ef.GSR = "ENABLED" ; FD1S3BX vv6b6ef (.D(ykdbbf4), .CK(dzec4ce), .PD(vvec21b), .Q(of442bd)) ; defparam byf438e.GSR = "ENABLED" ; FD1S3DX byf438e (.D(doe394), .CK(fndd899), .CD(je99dc6), .Q(qgc498c)) ; defparam bn947f8.GSR = "ENABLED" ; FD1S3BX bn947f8 (.D(ym1fe39), .CK(dzec4ce), .PD(vvec21b), .Q(fp8177d)) ; defparam sj3926f.GSR = "ENABLED" ; FD1S3DX sj3926f (.D(su49be4), .CK(fndd899), .CD(je99dc6), .Q(pubbee)) ; CU2 nee42eb (.CI(vve93e5), .PC1(yk437fc), .PC0(wl86ff), .CO(tueb653), .NC1(co2ed68), .NC0(mrcc0e7)); CU2 hoca6a5 (.CI(tueb653), .PC1(hbd8cdc), .PC0(jpfc2d8), .CO(hda5628), .NC1(aaac960), .NC0(rg57660)); CU2 byc51c2 (.CI(hda5628), .PC1(ip6f9da), .PC0(mrdcd6f), .CO(rtc259e), .NC1(swa35b2), .NC0(fp32b4f)); CU2 wyb3dea (.CI(rtc259e), .PC1(hoe16e9), .PC0(nedaae1), .CO(qgea1e2), .NC1(jr1c457), .NC0(qvd2ef)); CU2 gd3c430 (.CI(qgea1e2), .PC1(ykeff6e), .PC0(nre96ef), .CO(je30903), .NC1(meff796), .NC0(lde38f6)); CU2 pu206f9 (.CI(je30903), .PC1(ip54b06), .PC0(dz6e32c), .CO(dzf97da), .NC1(), .NC0(bl508a5)); CU2 hb5f6b0 (.CI(vve93e5), .PC1(sj2cddc), .PC0(al659bb), .CO(zzb0cdc), .NC1(qte72d5), .NC0(tj91548)); CU2 tj9b9dd (.CI(zzb0cdc), .PC1(hbfc8e9), .PC0(gbdc8fc), .CO(hbddf20), .NC1(and471), .NC0(gq21e87)); CU2 jce418b (.CI(hbddf20), .PC1(wjeddf6), .PC0(hbe9eed), .CO(lf8b6b8), .NC1(aa3b409), .NC0(mg24fde)); CU2 zxd71e3 (.CI(lf8b6b8), .PC1(ayc4d57), .PC0(blf69c4), .CO(rte3cf4), .NC1(mr7fe6a), .NC0(su53d87)); CU2 db9e9d6 (.CI(rte3cf4), .PC1(dm57475), .PC0(dm57357), .CO(end6ed2), .NC1(qi314e7), .NC0(ymfd6c)); CU2 hoda55d (.CI(end6ed2), .PC1(ip54b06), .PC0(qt755c6), .CO(xw5d5ed), .NC1(), .NC0(gd2953a)); FSUB2 ne57b59 (.A1(yk437fc), .A0(wl86ff), .B1(gq1ff73), .B0(yz90edd), .BI(vve93e5), .BOUT1(of5bb89), .BOUT0(), .S1(blddc4d), .S0(viee26e)); FSUB2 jp71372 (.A1(hbd8cdc), .A0(jpfc2d8), .B1(fa7914e), .B0(cbbe941), .BI(of5bb89), .BOUT1(oub3782), .BOUT0(), .S1(nt9bc11), .S0(qgde08f)); FSUB2 kqf0478 (.A1(ip6f9da), .A0(mrdcd6f), .B1(sh5441a), .B0(mr5165e), .BI(oub3782), .BOUT1(hq1542), .BOUT0(), .S1(ecaa12), .S0(nr55090)); FSUB2 nga8487 (.A1(hoe16e9), .A0(nedaae1), .B1(wyb3db6), .B0(cmfa153), .BI(hq1542), .BOUT1(aydb909), .BOUT0(), .S1(shdc849), .S0(bye424c)); FSUB2 co21263 (.A1(ykeff6e), .A0(nre96ef), .B1(rg47205), .B0(pfe6e08), .BI(aydb909), .BOUT1(icce216), .BOUT0(), .S1(xj710b1), .S0(aa8858e)); FSUB2 fa42c70 (.A1(ip54b06), .A0(xjdd849), .B1(ip54b06), .B0(ip54b06), .BI(icce216), .BOUT1(), .BOUT0(), .S1(), .S0(fp16be0)); VHI dbb5f07 (.Z(vve93e5)); FSUB2 xw7c1ff (.A1(jrb5458), .A0(byf4138), .B1(sj2cddc), .B0(al659bb), .BI(vve93e5), .BOUT1(rgd0889), .BOUT0(), .S1(rv8444a), .S0(jr22256)); FSUB2 ls112b6 (.A1(en5143a), .A0(ld6e292), .B1(hbfc8e9), .B0(gbdc8fc), .BI(rgd0889), .BOUT1(yz9ac49), .BOUT0(), .S1(czd624d), .S0(anb126c)); FSUB2 zm89364 (.A1(yzddb5), .A0(al7a1d4), .B1(wjeddf6), .B0(hbe9eed), .BI(yz9ac49), .BOUT1(fc116be), .BOUT0(), .S1(db8b5f3), .S0(jp5af9f)); FSUB2 nrd7cff (.A1(wjd3853), .A0(mg82af9), .B1(ayc4d57), .B0(blf69c4), .BI(fc116be), .BOUT1(ipfdd40), .BOUT0(), .S1(tueea05), .S0(wj7502b)); FSUB2 twa815c (.A1(ux2c1a6), .A0(fa66258), .B1(dm57475), .B0(dm57357), .BI(ipfdd40), .BOUT1(ym1f04f), .BOUT0(), .S1(qi2bc57), .S0(mec13c4)); FSUB2 vx9e20 (.A1(ip54b06), .A0(me49dfe), .B1(ip54b06), .B0(ip54b06), .BI(ym1f04f), .BOUT1(), .BOUT0(), .S1(), .S0(cb8ae62)); AGEB2 zxf90a3 (.A1(sj2cddc), .A0(al659bb), .B1(jrb5458), .B0(byf4138), .CI(dz6110a), .GE(fnf64cb)); AGEB2 rib265f (.A1(hbfc8e9), .A0(gbdc8fc), .B1(en5143a), .B0(ld6e292), .CI(fnf64cb), .GE(fada633)); AGEB2 czd319a (.A1(wjeddf6), .A0(hbe9eed), .B1(yzddb5), .B0(al7a1d4), .CI(fada633), .GE(lfa0b69)); AGEB2 aa5b4e (.A1(ayc4d57), .A0(blf69c4), .B1(wjd3853), .B0(mg82af9), .CI(lfa0b69), .GE(zz8e2af)); AGEB2 dz7157f (.A1(dm57475), .A0(dm57357), .B1(ux2c1a6), .B0(fa66258), .CI(zz8e2af), .GE(vif4de2)); AGEB2 oua6f13 (.A1(ip54b06), .A0(en60cda), .B1(ip54b06), .B0(db3dcc3), .CI(vif4de2), .GE(goce132)); FADD2 gb70991 (.A1(ip54b06), .A0(ip54b06), .B1(ip54b06), .B0(ip54b06), .CI(goce132), .COUT1(), .COUT0(), .S1(), .S0(ykdbbf4)); AGEB2 tu7cf8d (.A1(yk437fc), .A0(wl86ff), .B1(gq1ff73), .B0(yz90edd), .CI(su43126), .GE(xw5d2c7)); AGEB2 vve963b (.A1(hbd8cdc), .A0(jpfc2d8), .B1(fa7914e), .B0(cbbe941), .CI(xw5d2c7), .GE(kdfd5c3)); AGEB2 eaeae1c (.A1(ip6f9da), .A0(mrdcd6f), .B1(sh5441a), .B0(mr5165e), .CI(kdfd5c3), .GE(oua53b)); AGEB2 rg529d9 (.A1(hoe16e9), .A0(nedaae1), .B1(wyb3db6), .B0(cmfa153), .CI(oua53b), .GE(gb5e468)); AGEB2 kdf2344 (.A1(ykeff6e), .A0(nre96ef), .B1(rg47205), .B0(pfe6e08), .CI(gb5e468), .GE(ou2e02a)); AGEB2 en70150 (.A1(ip54b06), .A0(ipd3301), .B1(ip54b06), .B0(ba14af1), .CI(ou2e02a), .GE(xy250b7)); FADD2 zz285bb (.A1(ip54b06), .A0(ip54b06), .B1(ip54b06), .B0(ip54b06), .CI(xy250b7), .COUT1(), .COUT0(), .S1(), .S0(doe394)); AGEB2 vvd7710 (.A1(ay77190[1]), .A0(ay77190[0]), .B1(rv8444a), .B0(jr22256), .CI(dz6110a), .GE(ou34b02)); AGEB2 nta5811 (.A1(ay77190[3]), .A0(ay77190[2]), .B1(czd624d), .B0(anb126c), .CI(ou34b02), .GE(sh75b56)); AGEB2 riadab5 (.A1(ay77190[5]), .A0(ay77190[4]), .B1(db8b5f3), .B0(jp5af9f), .CI(sh75b56), .GE(sh51a76)); AGEB2 wy8d3b4 (.A1(ay77190[7]), .A0(ay77190[6]), .B1(tueea05), .B0(wj7502b), .CI(sh51a76), .GE(ep28a7)); AGEB2 hq1453c (.A1(ay77190[9]), .A0(ay77190[8]), .B1(qi2bc57), .B0(mec13c4), .CI(ep28a7), .GE(do1b4e6)); AGEB2 lqda732 (.A1(ip54b06), .A0(doa230b), .B1(ip54b06), .B0(ukb98a2), .CI(do1b4e6), .GE(sj955b9)); FADD2 dbaadcd (.A1(ip54b06), .A0(ip54b06), .B1(ip54b06), .B0(ip54b06), .CI(sj955b9), .COUT1(), .COUT0(), .S1(), .S0(ym1fe39)); AGEB2 qgcb3e2 (.A1(blddc4d), .A0(viee26e), .B1(dob8c81[1]), .B0(dob8c81[0]), .CI(su43126), .GE(wy884a3)); AGEB2 zk42518 (.A1(nt9bc11), .A0(qgde08f), .B1(dob8c81[3]), .B0(dob8c81[2]), .CI(wy884a3), .GE(sj1de2c)); AGEB2 ayef164 (.A1(ecaa12), .A0(nr55090), .B1(dob8c81[5]), .B0(dob8c81[4]), .CI(sj1de2c), .GE(zm173b)); AGEB2 twb9da (.A1(shdc849), .A0(bye424c), .B1(dob8c81[7]), .B0(dob8c81[6]), .CI(zm173b), .GE(wl90856)); AGEB2 fp842b4 (.A1(xj710b1), .A0(aa8858e), .B1(dob8c81[9]), .B0(dob8c81[8]), .CI(wl90856), .GE(ou31068)); AGEB2 gq88340 (.A1(ip54b06), .A0(fp16be0), .B1(ip54b06), .B0(ip54b06), .CI(ou31068), .GE(gq5871)); VLO cb2c389 (.Z(ip54b06)); FADD2 xye26e (.A1(ip54b06), .A0(ip54b06), .B1(ip54b06), .B0(ip54b06), .CI(gq5871), .COUT1(), .COUT0(), .S1(), .S0(su49be4)); assign db3205d = of442bd; assign cb902ef = qgc498c; + +always@* begin hqbd2d7<={icdbb13>>1,nrf6ece[0]};jpe96ba<=nrf6ece[1];uv4b5d7<=nrf6ece[2];pf5aebd<=nrf6ece[3];jpd75ef<=nrf6ece[4];fpbaf7e<=nrf6ece[5];thd7bf6<=nrf6ece[6];jebdfb7<={ay77190>>1,nrf6ece[7]};ayefdbb<={dob8c81>>1,nrf6ece[8]};end +always@* begin bl7edd9[2047]<=fndd899;bl7edd9[2046]<=dzec4ce;bl7edd9[2044]<=ww62677;bl7edd9[2040]<=nt133b8;bl7edd9[2032]<=je99dc6;bl7edd9[2016]<=gocee32;bl7edd9[1984]<=ay77190[0];bl7edd9[1920]<=dob8c81[0];bl7edd9[1023]<=icdbb13[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[0]};jpe96ba<=nrf6ece[1];uv4b5d7<=nrf6ece[2];pf5aebd<=nrf6ece[3];jpd75ef<=nrf6ece[4];fpbaf7e<=nrf6ece[5];thd7bf6<=nrf6ece[6];jebdfb7<={ay77190>>1,nrf6ece[7]};ayefdbb<={dob8c81>>1,nrf6ece[8]};end +always@* begin bl7edd9[2047]<=fndd899;bl7edd9[2046]<=dzec4ce;bl7edd9[2044]<=ww62677;bl7edd9[2040]<=nt133b8;bl7edd9[2032]<=je99dc6;bl7edd9[2017]<=gocee32;bl7edd9[1987]<=ay77190[0];bl7edd9[1926]<=dob8c81[0];bl7edd9[1023]<=icdbb13[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[0]};jpe96ba<=nrf6ece[1];uv4b5d7<=nrf6ece[2];pf5aebd<=nrf6ece[3];jpd75ef<=nrf6ece[4];fpbaf7e<=nrf6ece[5];thd7bf6<=nrf6ece[6];jebdfb7<={ay77190>>1,nrf6ece[7]};ayefdbb<={dob8c81>>1,nrf6ece[8]};fpba667<=nrf6ece[9];wjd333f<=nrf6ece[10];do999fc<=nrf6ece[11];mrccfe2<=nrf6ece[12];ay67f15<=nrf6ece[13];vk3f8a9<=nrf6ece[14];rtfc54a<=nrf6ece[15];kde2a56<=nrf6ece[16];wy152b5<=nrf6ece[17];gqa95a9<=nrf6ece[18];xj4ad49<=nrf6ece[19];go56a4e<=nrf6ece[20];lfb5271<=nrf6ece[21];iea938e<=nrf6ece[22];kq49c71<=nrf6ece[23];mr4e389<=nrf6ece[24];dz71c4d<=nrf6ece[25];db8e26f<=nrf6ece[26];jp7137f<=nrf6ece[27];tw89bfe<=nrf6ece[28];fa4dff3<=nrf6ece[29];yx6ff9b<=nrf6ece[30];kd7fcdd<=nrf6ece[31];fafe6ec<=nrf6ece[32];xjf3763<=nrf6ece[33];hd9bb1f<=nrf6ece[34];xjdd8ff<=nrf6ece[35];rtec7fe<=nrf6ece[36];fa63ff7<=nrf6ece[37];ie1ffbb<=nrf6ece[38];cmffdd9<=nrf6ece[39];tufeec8<=nrf6ece[40];alf7642<=nrf6ece[41];epbb215<=nrf6ece[42];ofd90ad<=nrf6ece[43];blc856d<=nrf6ece[44];ne42b6e<=nrf6ece[45];aa15b72<=nrf6ece[46];jeadb90<=nrf6ece[47];os6dc82<=nrf6ece[48];fn6e410<=nrf6ece[49];ic72086<=nrf6ece[50];qv90431<=nrf6ece[51];ks8218d<=nrf6ece[52];gq10c69<=nrf6ece[53];fc86348<=nrf6ece[54];sw31a43<=nrf6ece[55];qi8d219<=nrf6ece[56];dm690c8<=nrf6ece[57];xw48643<=nrf6ece[58];go4321a<=nrf6ece[59];db190d1<=nrf6ece[60];rtc868f<=nrf6ece[61];kq4347e<=nrf6ece[62];gq1a3f1<=nrf6ece[63];icd1f88<=nrf6ece[64];wl8fc40<=nrf6ece[65];bl7e204<=nrf6ece[66];psf1027<=nrf6ece[67];ou8813a<=nrf6ece[68];pf409d0<=nrf6ece[69];vk4e87<=nrf6ece[70];tj2743b<=nrf6ece[71];ng3a1de<=nrf6ece[72];hod0ef3<=nrf6ece[73];mg8779a<=nrf6ece[74];wy3bcd1<=nrf6ece[75];wwde688<=nrf6ece[76];jpf3440<=nrf6ece[77];yz9a207<=nrf6ece[78];wwd103c<=nrf6ece[79];gq881e1<=nrf6ece[80];dz40f08<=nrf6ece[81];ep7847<=nrf6ece[82];ir3c23c<=nrf6ece[83];pse11e4<=nrf6ece[84];mt8f23<=nrf6ece[85];by4791d<=nrf6ece[86];kf3c8ea<=nrf6ece[87];jce4755<=nrf6ece[88];qv23aab<=nrf6ece[89];ux1d55d<=nrf6ece[90];uieaaed<=nrf6ece[91];fn55769<=nrf6ece[92];cbabb4f<=nrf6ece[93];ps5da7d<=nrf6ece[94];ened3ea<=nrf6ece[95];fa69f55<=nrf6ece[96];ld4faaa<=nrf6ece[97];os7d556<=nrf6ece[98];kqeaab0<=nrf6ece[99];dz55581<=nrf6ece[100];ntaac0f<=nrf6ece[101];yk5607f<=nrf6ece[102];vxb03f8<=nrf6ece[103];nt81fc6<=nrf6ece[104];ecfe37<=nrf6ece[105];mr7f1b8<=nrf6ece[106];alf8dc5<=nrf6ece[107];ipc6e2d<=nrf6ece[108];ph3716c<=nrf6ece[109];twb8b67<=nrf6ece[110];dmc5b3b<=nrf6ece[111];fp2d9db<=nrf6ece[112];jc6cede<=nrf6ece[113];os676f2<=nrf6ece[114];ym3b790<=nrf6ece[115];qgdbc81<=nrf6ece[116];osde409<=nrf6ece[117];wjf2048<=nrf6ece[118];yz90245<=nrf6ece[119];zz8122d<=nrf6ece[120];vx916e<=nrf6ece[121];rg48b76<=nrf6ece[122];rt45bb3<=nrf6ece[123];nt2dd9f<=nrf6ece[124];xj6ecfe<=nrf6ece[125];by767f7<=nrf6ece[126];ksb3fbb<=nrf6ece[127];cb9fdd8<=nrf6ece[128];hofeec5<=nrf6ece[129];ipf762a<=nrf6ece[130];qvbb155<=nrf6ece[131];hbd8aad<=nrf6ece[132];rgc556e<=nrf6ece[133];hq2ab72<=nrf6ece[134];fn55b93<=nrf6ece[135];xyadc9e<=nrf6ece[136];zx6e4f2<=nrf6ece[137];me72796<=nrf6ece[138];vk93cb1<=nrf6ece[139];bn9e58b<=nrf6ece[140];wjf2c5e<=nrf6ece[141];tw962f4<=nrf6ece[142];gdb17a2<=nrf6ece[143];xl8bd14<=nrf6ece[144];ip5e8a6<=nrf6ece[145];dmf4535<=nrf6ece[146];xya29aa<=nrf6ece[147];xy14d53<=nrf6ece[148];ksa6a9a<=nrf6ece[149];xy354d1<=nrf6ece[150];dbaa68a<=nrf6ece[151];su53456<=nrf6ece[152];yz9a2b0<=nrf6ece[153];wwd1584<=nrf6ece[154];ir8ac21<=nrf6ece[155];qg5610c<=nrf6ece[156];jrb0860<=nrf6ece[157];zz84307<=nrf6ece[158];sw2183e<=nrf6ece[159];hdc1f0<=nrf6ece[160];kd60f86<=nrf6ece[161];ux7c34<=nrf6ece[162];ec3e1a4<=nrf6ece[163];mef0d21<=nrf6ece[164];vk86909<=nrf6ece[165];mg3484a<=nrf6ece[166];wla4251<=nrf6ece[167];ou2128d<=nrf6ece[168];fp9469<=nrf6ece[169];vv4a34e<=nrf6ece[170];wj51a77<=nrf6ece[171];co8d3bc<=nrf6ece[172];vi69de0<=nrf6ece[173];ip4ef02<=nrf6ece[174];qg77814<=nrf6ece[175];wybc0a0<=nrf6ece[176];wwe0500<=nrf6ece[177];qv2801<=nrf6ece[178];zm1400c<=nrf6ece[179];hda0063<=nrf6ece[180];ym31f<=nrf6ece[181];ls18f8<=nrf6ece[182];rvc7c3<=nrf6ece[183];lq63e1e<=nrf6ece[184];ec1f0f3<=nrf6ece[185];uvf8798<=nrf6ece[186];ipc3cc4<=nrf6ece[187];ng1e624<=nrf6ece[188];pff3122<=nrf6ece[189];sw98917<=nrf6ece[190];ayc48b8<=nrf6ece[191];ec245c1<=nrf6ece[192];db22e09<=nrf6ece[193];ux1704f<=nrf6ece[194];ngb827c<=nrf6ece[195];cmc13e2<=nrf6ece[196];tj9f10<=nrf6ece[197];hb4f887<=nrf6ece[198];jc7c43e<=nrf6ece[199];yxe21f2<=nrf6ece[200];aa10f94<=nrf6ece[201];ie87ca0<=nrf6ece[202];mg3e500<=nrf6ece[203];alf2801<=nrf6ece[204];bn9400c<=nrf6ece[205];xla0067<=nrf6ece[206];wy33f<=nrf6ece[207];vk19f8<=nrf6ece[208];tjcfc3<=nrf6ece[209];ea67e1f<=nrf6ece[210];ba3f0f9<=nrf6ece[211];qtf87cb<=nrf6ece[212];czc3e5c<=nrf6ece[213];qi1f2e4<=nrf6ece[214];nrf9720<=nrf6ece[215];cmcb906<=nrf6ece[216];ui5c830<=nrf6ece[217];rge4180<=nrf6ece[218];ba20c03<=nrf6ece[219];bn601a<=nrf6ece[220];ng300d7<=nrf6ece[221];an806ba<=nrf6ece[222];db35d4<=nrf6ece[223];co1aea7<=nrf6ece[224];jpd7539<=nrf6ece[225];fpba9ce<=nrf6ece[226];qgd4e76<=nrf6ece[227];hqa73b0<=nrf6ece[228];wl39d82<=nrf6ece[229];aycec15<=nrf6ece[230];fa760a8<=nrf6ece[231];tjb0543<=nrf6ece[232];uk82a1e<=nrf6ece[233];ec150f0<=nrf6ece[234];twa8781<=nrf6ece[235];ui43c0b<=nrf6ece[236];fc1e058<=nrf6ece[237];ayf02c2<=nrf6ece[238];bn81610<=nrf6ece[239];jeb086<=nrf6ece[240];dm58433<=nrf6ece[241];rtc219b<= +nrf6ece[242];ks10cdf<=nrf6ece[243];ls866f8<=nrf6ece[244];qv337c3<=nrf6ece[245];db9be1d<=nrf6ece[246];psdf0ef<=nrf6ece[247];enf877a<=nrf6ece[248];kdc3bd4<=nrf6ece[249];ep1dea4<=nrf6ece[250];suef524<=nrf6ece[251];kd7a920<=nrf6ece[252];ead4904<=nrf6ece[253];qva4820<=nrf6ece[254];ou24104<=nrf6ece[255];do20821<=nrf6ece[256];pu410a<=nrf6ece[257];ri20852<=nrf6ece[258];xy4292<=nrf6ece[259];co21492<=nrf6ece[260];ksa491<=nrf6ece[261];lq52489<=nrf6ece[262];sw9244a<=nrf6ece[263];wy92250<=nrf6ece[264];fp91280<=nrf6ece[265];ba89407<=nrf6ece[266];pf4a03a<=nrf6ece[267];bl501d7<=nrf6ece[268];wl80ebf<=nrf6ece[269];an75fd<=nrf6ece[270];fc3afed<=nrf6ece[271];thd7f6a<=nrf6ece[272];lfbfb57<=nrf6ece[273];offdabe<=nrf6ece[274];qted5f5<=nrf6ece[275];yx6afad<=nrf6ece[276];jc57d6d<=nrf6ece[277];irbeb6b<=nrf6ece[278];osf5b5c<=nrf6ece[279];lsadae1<=nrf6ece[280];ea6d70a<=nrf6ece[281];hb6b851<=nrf6ece[282];cm5c28f<=nrf6ece[283];hoe1479<=nrf6ece[284];yma3cb<=nrf6ece[285];uv51e59<=nrf6ece[286];oh8f2cc<=nrf6ece[287];rg79665<=nrf6ece[288];iccb32a<=nrf6ece[289];ui59951<=nrf6ece[290];shcca88<=nrf6ece[291];yx65445<=nrf6ece[292];fc2a22d<=nrf6ece[293];kd5116a<=nrf6ece[294];ou88b55<=nrf6ece[295];th45aa9<=nrf6ece[296];db2d54f<=nrf6ece[297];yx6aa7f<=nrf6ece[298];ld553fd<=nrf6ece[299];wya9fef<=nrf6ece[300];rt4ff78<=nrf6ece[301];mr7fbc6<=nrf6ece[302];wjfde34<=nrf6ece[303];ayef1a5<=nrf6ece[304];ui78d28<=nrf6ece[305];mrc6941<=nrf6ece[306];end +always@* begin bl7edd9[2047]<=fndd899;bl7edd9[2046]<=dzec4ce;bl7edd9[2044]<=ww62677;bl7edd9[2043]<=tu57f16;bl7edd9[2040]<=nt133b8;bl7edd9[2038]<=jr22256;bl7edd9[2032]<=je99dc6;bl7edd9[2029]<=vve93e5;bl7edd9[2017]<=gocee32;bl7edd9[2013]<=go7861c;bl7edd9[2010]<=rv8444a;bl7edd9[2003]<=sj955b9;bl7edd9[1990]<=tueb653;bl7edd9[1987]<=ay77190[0];bl7edd9[1982]<=uvfde54;bl7edd9[1980]<=an95e84;bl7edd9[1979]<=dbb0f81;bl7edd9[1973]<=anb126c;bl7edd9[1963]<=rv132cf;bl7edd9[1958]<=su43126;bl7edd9[1957]<=thc74dd;bl7edd9[1953]<=cbbe941;bl7edd9[1942]<=ym24d57;bl7edd9[1932]<=fp32b4f;bl7edd9[1929]<=blddc4d;bl7edd9[1926]<=dob8c81[0];bl7edd9[1923]<=lf8b6b8;bl7edd9[1921]<=al4b77f;bl7edd9[1917]<=do1b4e6;bl7edd9[1914]<=os598c7;bl7edd9[1913]<=lfaf424;bl7edd9[1911]<=qi5b38;bl7edd9[1903]<=irb4e26;bl7edd9[1898]<=rgd0889;bl7edd9[1879]<=pu9967e;bl7edd9[1876]<=nrec274;bl7edd9[1872]<=xw57c80;bl7edd9[1868]<=qgc48c9;bl7edd9[1866]<=fp167b6;bl7edd9[1863]<=ou2e02a;bl7edd9[1858]<=fa7914e;bl7edd9[1837]<=cb26ab8;bl7edd9[1816]<=swa35b2;bl7edd9[1810]<=qgde08f;bl7edd9[1805]<=fnc8624;bl7edd9[1802]<=uk1f0b6;bl7edd9[1799]<=ymfd6c;bl7edd9[1795]<=kd7fb71;bl7edd9[1786]<=ukb98a2;bl7edd9[1783]<=zzaae34;bl7edd9[1780]<=zz3859e;bl7edd9[1778]<=gb7a126;bl7edd9[1775]<=aa87c0f;bl7edd9[1770]<=hbc2b1e;bl7edd9[1758]<=gd26ab9;bl7edd9[1748]<=czd624d;bl7edd9[1710]<=gocb3f3;bl7edd9[1704]<=sw9d6c9;bl7edd9[1701]<=ux2c1a6;bl7edd9[1699]<=db8b5f3;bl7edd9[1696]<=gbedb34;bl7edd9[1689]<=yz129fb;bl7edd9[1685]<=pf7b9f0;bl7edd9[1679]<=pu1bfe1;bl7edd9[1678]<=ba14af1;bl7edd9[1668]<=jpfc2d8;bl7edd9[1627]<=ls355c5;bl7edd9[1624]<=me49dfe;bl7edd9[1605]<=jcee6d6;bl7edd9[1589]<=wjd3853;bl7edd9[1584]<=hda5628;bl7edd9[1573]<=of5bb89;bl7edd9[1572]<=al659bb;bl7edd9[1567]<=ldc7df2;bl7edd9[1562]<=tw8c221;bl7edd9[1557]<=qte72d5;bl7edd9[1556]<=ou34b02;bl7edd9[1551]<=qi314e7;bl7edd9[1548]<=meff796;bl7edd9[1543]<=vv71966;bl7edd9[1524]<=doa230b;bl7edd9[1521]<=rg57660;bl7edd9[1519]<=icde57a;bl7edd9[1513]<=goc453f;bl7edd9[1512]<=yk437fc;bl7edd9[1509]<=dmd0935;bl7edd9[1504]<=su53d87;bl7edd9[1502]<=hqe431;bl7edd9[1499]<=bl4f76e;bl7edd9[1493]<=oh29703;bl7edd9[1492]<=rv816fe;bl7edd9[1469]<=gqb9aba;bl7edd9[1466]<=uif5fa8;bl7edd9[1448]<=jp5af9f;bl7edd9[1421]<=lfa0b69;bl7edd9[1417]<=byf4138;bl7edd9[1415]<=cmce96b;bl7edd9[1404]<=co2ed68;bl7edd9[1402]<=gq1ff73;bl7edd9[1400]<=aa3b409;bl7edd9[1398]<=jce47e4;bl7edd9[1377]<=kq7758f;bl7edd9[1374]<=uic45be;bl7edd9[1373]<=wy987d3;bl7edd9[1361]<=pu3ff3b;bl7edd9[1359]<=aa8858e;bl7edd9[1355]<=bn8b1b5;bl7edd9[1354]<=dm57357;bl7edd9[1350]<=wj7502b;bl7edd9[1349]<=vid0f0a;bl7edd9[1344]<=ep266b;bl7edd9[1343]<=xj710b1;bl7edd9[1341]<=sh51a76;bl7edd9[1330]<=zz94fdd;bl7edd9[1326]<=xy96d51;bl7edd9[1323]<=oh8012e;bl7edd9[1322]<=vif4de2;bl7edd9[1310]<=xwe16c6;bl7edd9[1308]<=ipd3301;bl7edd9[1305]<=tueea05;bl7edd9[1301]<=sj908ca;bl7edd9[1289]<=hbd8cdc;bl7edd9[1286]<=ou31068;bl7edd9[1280]<=sj95ca1;bl7edd9[1279]<=icce216;bl7edd9[1271]<=ieb9fef;bl7edd9[1224]<=do3f773;bl7edd9[1210]<=tud5b8a;bl7edd9[1207]<=fpbfc60;bl7edd9[1201]<=sw213fa;bl7edd9[1199]<=qgc498c;bl7edd9[1195]<=en60cda;bl7edd9[1193]<=bye424c;bl7edd9[1163]<=gbdc8fc;bl7edd9[1162]<=ps736b2;bl7edd9[1155]<=cmfa153;bl7edd9[1144]<=hbe6b7c;bl7edd9[1136]<=xy250b7;bl7edd9[1130]<=blf69c4;bl7edd9[1125]<=ipfdd40;bl7edd9[1120]<=qvd2ef;bl7edd9[1112]<=al7a1d4;bl7edd9[1110]<=yma69d4;bl7edd9[1104]<=kdd64f3;bl7edd9[1098]<=nt9bc11;bl7edd9[1096]<=sj2cddc;bl7edd9[1086]<=ww72fa5;bl7edd9[1076]<=xlb5ea4;bl7edd9[1067]<=blf31ce;bl7edd9[1065]<=thc2d84;bl7edd9[1060]<=mr5165e;bl7edd9[1054]<=rte3cf4;bl7edd9[1053]<=hoe16e9;bl7edd9[1051]<=su49be4;bl7edd9[1048]<=qgea1e2;bl7edd9[1039]<=uie5e73;bl7edd9[1026]<=fpa5ac0;bl7edd9[1023]<=icdbb13[0];bl7edd9[1021]<=fn4afe2;bl7edd9[1006]<=qib61f0;bl7edd9[1001]<=ym1fe39;bl7edd9[995]<=aaac960;bl7edd9[991]<=cz7fbca;bl7edd9[990]<=alf2bd0;bl7edd9[981]<=ldc2659;bl7edd9[978]<=xjc2cf6;bl7edd9[976]<=xw5d2c7;bl7edd9[971]<=jr849aa;bl7edd9[964]<=viee26e;bl7edd9[961]<=mr7fe6a;bl7edd9[960]<=zmb74b;bl7edd9[957]<=rv270b3;bl7edd9[951]<=rt6efb4;bl7edd9[938]<=ea53ad9;bl7edd9[936]<=co9d6b8;bl7edd9[931]<=ykeff6e;bl7edd9[901]<=jr3e16;bl7edd9[891]<=jrba3aa;bl7edd9[885]<=jp7e0e8;bl7edd9[850]<=fa66258;bl7edd9[849]<=yz9ac49;bl7edd9[839]<=gd1d5e2;bl7edd9[812]<=ym1f04f;bl7edd9[802]<=sj1de2c;bl7edd9[794]<=mg82af9;bl7edd9[786]<=jrb5458;bl7edd9[783]<=phc7fd;bl7edd9[778]<=tj91548;bl7edd9[774]<=lde38f6;bl7edd9[760]<=mga9411;bl7edd9[756]<=wl86ff;bl7edd9[752]<=hbddf20;bl7edd9[749]<=lqe474f;bl7edd9[746]<=gqa75b;bl7edd9[733]<=ld4f71c;bl7edd9[710]<=wjeddf6;bl7edd9[708]<=go59655;bl7edd9[707]<=byee645;bl7edd9[702]<=mrcc0e7;bl7edd9[701]<=yz90edd;bl7edd9[700]<=mg24fde;bl7edd9[699]<=jc66ee4;bl7edd9[688]<=fc3a70a;bl7edd9[687]<=goce132;bl7edd9[679]<=shdc849;bl7edd9[677]<=gqc04;bl7edd9[674]<=faeb649;bl7edd9[671]<=aydb909;bl7edd9[670]<=ph84dcf;bl7edd9[663]<=shc3703;bl7edd9[661]<=dm57475;bl7edd9[652]<=fc116be;bl7edd9[650]<=yxc69d3;bl7edd9[643]<=ph9e71b;bl7edd9[640]<=ay72dd2;bl7edd9[639]<=fp16be0;bl7edd9[635]<=rv373fd;bl7edd9[612]<=wy884a3;bl7edd9[605]<=neeba75;bl7edd9[599]<=of442bd;bl7edd9[597]<=db3dcc3;bl7edd9[596]<=ecaa12;bl7edd9[581]<=en5143a;bl7edd9[577]<=oua53b;bl7edd9[572]<=shc66e6;bl7edd9[568]<=doe394;bl7edd9[562]<=mec13c4;bl7edd9[556]<=fada633;bl7edd9[555]<=ks34e9c;bl7edd9[552]<=zkdac9e;bl7edd9[530]<=kdfd5c3;bl7edd9[526]<=nedaae1;bl7edd9[525]<=ng9c6f6;bl7edd9[513]<=ls20f3f;bl7edd9[510]<=xjdd849;bl7edd9[503]<=phbf54d;bl7edd9[495]<=ep28a7;bl7edd9[490]<=mg984cb;bl7edd9[482]<=end6ed2;bl7edd9[480]<=rgd570b;bl7edd9[465]<=nre96ef;bl7edd9[450]<=swbc266;bl7edd9[425]<=zz8e2af;bl7edd9[419]<=aa3e078;bl7edd9[406]<=cb8ae62;bl7edd9[401]<=fafbb9b;bl7edd9[389]<=je30903;bl7edd9[387]<=rtc259e;bl7edd9[373]<=uv5bd24;bl7edd9[366]<=oh8a7a4;bl7edd9[355]<=hbe9eed;bl7edd9[354]<=lf9fd1;bl7edd9[351]<=vvec21b;bl7edd9[350]<=zzb0cdc;bl7edd9[344]<=tj948d;bl7edd9[343]<=ykdbbf4;bl7edd9[339]<=hq1542;bl7edd9[338]<=gqb3bde;bl7edd9[335]<=lfb09b9;bl7edd9[321]<=ng93ce3;bl7edd9[298]<=oub3782;bl7edd9[290]<=ld6e292;bl7edd9[288]<=ip6f9da;bl7edd9[278]<=hbfc8e9;bl7edd9[276]<=zm173b;bl7edd9[263]<=wyb3db6;bl7edd9[251]<=mgb6c3e;bl7edd9[245]<=lq73099;bl7edd9[241]<=qt755c6;bl7edd9[240]<=lq7ced5;bl7edd9[232]<=rg47205;bl7edd9[225]<=dz6110a;bl7edd9[212]<=ayc4d57;bl7edd9[209]<=th454df;bl7edd9[203]<=qi2bc57;bl7edd9[194]<=dz6e32c;bl7edd9[193]<=jr1c457;bl7edd9[177]<=yzddb5;bl7edd9[175]<=and471;bl7edd9[172]<=fca74e1;bl7edd9[169]<=ps79094;bl7edd9[167]<=sh75b56;bl7edd9[160]<=wl90856;bl7edd9[149]<=nr55090;bl7edd9[145]<=fnf64cb;bl7edd9[144]<=mrdcd6f;bl7edd9[125]<=bnb0962;bl7edd9[122]<=kqce613;bl7edd9[120]<=xw5d5ed;bl7edd9[116]<=pfe6e08;bl7edd9[109]<=ip54b06;bl7edd9[104]<=kd5b24a;bl7edd9[97]<=dzf97da;bl7edd9[87]<=gq21e87;bl7edd9[84]<=gdabfd5;bl7edd9[83]<=zz16c26;bl7edd9[72]<=sh5441a;bl7edd9[61]<=ho79cc2;bl7edd9[60]<=gd2953a; +bl7edd9[58]<=gb5e468;bl7edd9[54]<=gq5871;bl7edd9[48]<=bl508a5;bl7edd9[42]<=ba1e4f6;bl7edd9[30]<=vk2f398;bl7edd9[21]<=ww781a8;bl7edd9[10]<=ip7f3bf;bl7edd9[5]<=uxbe6f6;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[1]};ie9813c<={blcd797>>1,nrf6ece[2]};dmc09e1<=nrf6ece[3];do4f0b<=nrf6ece[4];fp2785b<={oub3c7c>>1,nrf6ece[5]};kf3c2df<={jr9e3e0>>1,nrf6ece[6]};fae16fc<={hof1f06>>1,nrf6ece[7]};jeb7e3<={ir8f833>>1,nrf6ece[8]};en5bf1b<={rg7c19c>>1,nrf6ece[9]};xwdf8dd<={ice0ce2>>1,nrf6ece[10]};vvfc6eb<=nrf6ece[11];rte375e<=nrf6ece[12];xy1baf6<=nrf6ece[13];vvdd7b3<=nrf6ece[14];dmebd9f<={ou10f1c>>1,nrf6ece[15]};yx5ecfb<=nrf6ece[16];dzf67dd<=nrf6ece[17];aab3eef<={fne3804>>1,nrf6ece[18]};an9f778<=nrf6ece[19];nefbbc4<=nrf6ece[20];fndde22<={uk9f9>>1,nrf6ece[21]};ayef116<=nrf6ece[22];ui788b0<=nrf6ece[23];kqc4581<=nrf6ece[24];fp22c08<=nrf6ece[25];lf16047<=nrf6ece[26];vxb023e<={sh4bef4>>1,nrf6ece[27]};nt811f6<=nrf6ece[28];cb8fb6<=nrf6ece[29];zk47db5<=nrf6ece[30];sw3edaa<=nrf6ece[31];thf6d50<=nrf6ece[32];ukb6a86<=nrf6ece[33];fpb5430<=nrf6ece[34];phaa186<=nrf6ece[35];nr50c36<=nrf6ece[36];je861b6<={ww5c9fe>>1,nrf6ece[37]};zm30db3<=nrf6ece[38];vk86d9b<=nrf6ece[39];oh36cda<=nrf6ece[40];gqb66d4<=nrf6ece[41];ymb36a1<=nrf6ece[42];ph9b509<=nrf6ece[43];dmda84e<=nrf6ece[44];end +always@* begin bl7edd9[2047]<=zxf9af2[0];bl7edd9[2046]<=blcd797[0];bl7edd9[2044]<=pf6bcbe;bl7edd9[2040]<=qt5e5f7;bl7edd9[2032]<=oub3c7c[0];bl7edd9[2017]<=jr9e3e0[0];bl7edd9[1987]<=hof1f06[0];bl7edd9[1926]<=ir8f833[0];bl7edd9[1805]<=lf91727;bl7edd9[1804]<=rg7c19c[0];bl7edd9[1803]<=bn27e4b;bl7edd9[1761]<=qva4c8b;bl7edd9[1668]<=uif05d3;bl7edd9[1562]<=zz8b93f;bl7edd9[1560]<=ice0ce2[0];bl7edd9[1558]<=do3f25f;bl7edd9[1550]<=qi878e0;bl7edd9[1475]<=qv2645c;bl7edd9[1464]<=uide932;bl7edd9[1288]<=co82e98;bl7edd9[1076]<=ww5c9fe[0];bl7edd9[1072]<=fp6710;bl7edd9[1069]<=hbf92fb;bl7edd9[1052]<=an3c700;bl7edd9[1023]<=gbe_mode;bl7edd9[902]<=rv322e4;bl7edd9[901]<=je4fc9;bl7edd9[880]<=vif4991;bl7edd9[834]<=fafe0ba;bl7edd9[775]<=ou10f1c[0];bl7edd9[732]<=zkfbd26;bl7edd9[528]<=sj174c0;bl7edd9[450]<=uk9f9[0];bl7edd9[417]<=xy3fc17;bl7edd9[387]<=qte21e3;bl7edd9[366]<=vv5f7a4;bl7edd9[225]<=aye013f;bl7edd9[208]<=xl27f82;bl7edd9[193]<=do9c43c;bl7edd9[183]<=sh4bef4[0];bl7edd9[112]<=lf1c027;bl7edd9[104]<=hoe4ff0;bl7edd9[96]<=ux33887;bl7edd9[91]<=ipc97de;bl7edd9[56]<=fne3804[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[1]};dmc09e1<=nrf6ece[2];do4f0b<=nrf6ece[3];uk3b4aa<=nrf6ece[4];lqda551<=nrf6ece[5];zxd2a8a<={pfe37e6>>1,nrf6ece[6]};fp2785b<={oub3c7c>>1,nrf6ece[7]};kf3c2df<={jr9e3e0>>1,nrf6ece[8]};fae16fc<={hof1f06>>1,nrf6ece[9]};jeb7e3<={ir8f833>>1,nrf6ece[10]};en5bf1b<={rg7c19c>>1,nrf6ece[11]};xwdf8dd<={ice0ce2>>1,nrf6ece[12]};vvfc6eb<=nrf6ece[13];rte375e<=nrf6ece[14];xy1baf6<=nrf6ece[15];vvdd7b3<=nrf6ece[16];zk47db5<=nrf6ece[17];sw3edaa<=nrf6ece[18];rtdd291<=nrf6ece[19];nr50c36<=nrf6ece[20];je861b6<={ww5c9fe>>1,nrf6ece[21]};zm30db3<=nrf6ece[22];zz91ffa<=nrf6ece[23];cb8ffd6<=nrf6ece[24];cb8fb6<=nrf6ece[25];dmebd9f<={ou10f1c>>1,nrf6ece[26]};dzf67dd<=nrf6ece[27];yx5ecfb<=nrf6ece[28];uxb4845<=nrf6ece[29];ira422d<=nrf6ece[30];vxb023e<={sh4bef4>>1,nrf6ece[31]};lf16047<=nrf6ece[32];fp22c08<=nrf6ece[33];zz2da6f<=nrf6ece[34];me6d37e<=nrf6ece[35];rg69bf1<={nre371c>>1,nrf6ece[36]};by4df8a<=nrf6ece[37];sh6fc53<=nrf6ece[38];pf7e29d<=nrf6ece[39];xwf14ef<=nrf6ece[40];phaa186<=nrf6ece[41];ukb6a86<=nrf6ece[42];wy9dea9<=nrf6ece[43];vk86d9b<=nrf6ece[44];oh36cda<=nrf6ece[45];gqb66d4<=nrf6ece[46];ymb36a1<=nrf6ece[47];ph9b509<=nrf6ece[48];dmda84e<=nrf6ece[49];end +always@* begin bl7edd9[2047]<=blcd797[0];bl7edd9[2046]<=pf6bcbe;bl7edd9[2044]<=qt5e5f7;bl7edd9[2041]<=hdaff1b;bl7edd9[2034]<=en7f8df;bl7edd9[2021]<=pfe37e6[0];bl7edd9[2017]<=ww5c9fe[0];bl7edd9[1995]<=oub3c7c[0];bl7edd9[1986]<=hoe4ff0;bl7edd9[1943]<=jr9e3e0[0];bl7edd9[1925]<=ph38769;bl7edd9[1908]<=hbf92fb;bl7edd9[1864]<=ri1b8e6;bl7edd9[1855]<=qte21e3;bl7edd9[1838]<=hof1f06[0];bl7edd9[1803]<=uvc3b4e;bl7edd9[1769]<=zma78dc;bl7edd9[1680]<=yxdc733;bl7edd9[1662]<=uide932;bl7edd9[1628]<=ir8f833[0];bl7edd9[1559]<=zkfbd26;bl7edd9[1501]<=sh4bef4[0];bl7edd9[1490]<=oh3c6e3;bl7edd9[1487]<=ux33887;bl7edd9[1312]<=dze399d;bl7edd9[1276]<=vif4991;bl7edd9[1209]<=rg7c19c[0];bl7edd9[1155]<=lf91727;bl7edd9[1070]<=ou10f1c[0];bl7edd9[1048]<=xl27f82;bl7edd9[1023]<=gbe_mode;bl7edd9[1008]<=zz8b93f;bl7edd9[954]<=ipc97de;bl7edd9[932]<=nre371c[0];bl7edd9[927]<=do9c43c;bl7edd9[791]<=sj174c0;bl7edd9[750]<=epa4aa7;bl7edd9[743]<=fp6710;bl7edd9[577]<=vx1ccef;bl7edd9[524]<=ym9dfb4;bl7edd9[504]<=ri89c38;bl7edd9[395]<=co82e98;bl7edd9[375]<=nr74954;bl7edd9[371]<=ice0ce2[0];bl7edd9[262]<=qv2645c;bl7edd9[197]<=uif05d3;bl7edd9[187]<=qi878e0;bl7edd9[98]<=fafe0ba;bl7edd9[93]<=an3c700;bl7edd9[49]<=xy3fc17;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[2]};dmc09e1<=nrf6ece[3];do4f0b<=nrf6ece[4];kf9af7f<={aa90a>>1,nrf6ece[5]};fp2785b<={oub3c7c>>1,nrf6ece[6]};kf3c2df<={jr9e3e0>>1,nrf6ece[7]};fae16fc<={hof1f06>>1,nrf6ece[8]};jeb7e3<={ir8f833>>1,nrf6ece[9]};en5bf1b<={rg7c19c>>1,nrf6ece[10]};xwdf8dd<={ice0ce2>>1,nrf6ece[11]};vvfc6eb<=nrf6ece[12];rte375e<=nrf6ece[13];xy1baf6<=nrf6ece[14];vvdd7b3<=nrf6ece[15];je861b6<={ww5c9fe>>1,nrf6ece[16]};zm30db3<=nrf6ece[17];zz91ffa<=nrf6ece[18];cb8fb6<=nrf6ece[19];dmebd9f<={ou10f1c>>1,nrf6ece[20]};dzf67dd<=nrf6ece[21];yx5ecfb<=nrf6ece[22];vk86d9b<=nrf6ece[23];oh36cda<=nrf6ece[24];gqb66d4<=nrf6ece[25];ymb36a1<=nrf6ece[26];ph9b509<=nrf6ece[27];dmda84e<=nrf6ece[28];end +always@* begin bl7edd9[2047]<=ay534c0;bl7edd9[2046]<=blcd797[0];bl7edd9[2044]<=pf6bcbe;bl7edd9[2040]<=qt5e5f7;bl7edd9[2032]<=aa90a[0];bl7edd9[2017]<=oub3c7c[0];bl7edd9[1987]<=jr9e3e0[0];bl7edd9[1926]<=hof1f06[0];bl7edd9[1804]<=ir8f833[0];bl7edd9[1803]<=xl27f82;bl7edd9[1560]<=rg7c19c[0];bl7edd9[1558]<=xy3fc17;bl7edd9[1550]<=ww5c9fe[0];bl7edd9[1072]<=ice0ce2[0];bl7edd9[1069]<=fafe0ba;bl7edd9[1052]<=hoe4ff0;bl7edd9[1023]<=gbe_mode;bl7edd9[901]<=qi878e0;bl7edd9[775]<=qte21e3;bl7edd9[450]<=an3c700;bl7edd9[387]<=do9c43c;bl7edd9[366]<=sj174c0;bl7edd9[225]<=ou10f1c[0];bl7edd9[193]<=ux33887;bl7edd9[183]<=co82e98;bl7edd9[112]<=zkfbd26;bl7edd9[96]<=fp6710;bl7edd9[91]<=uif05d3;bl7edd9[56]<=ph38769;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[2]};dmc09e1<=nrf6ece[3];do4f0b<=nrf6ece[4];uk3b4aa<=nrf6ece[5];lqda551<=nrf6ece[6];kf9af7f<={aa90a>>1,nrf6ece[7]};fp2785b<={oub3c7c>>1,nrf6ece[8]};kf3c2df<={jr9e3e0>>1,nrf6ece[9]};fae16fc<={hof1f06>>1,nrf6ece[10]};jeb7e3<={ir8f833>>1,nrf6ece[11]};en5bf1b<={rg7c19c>>1,nrf6ece[12]};xwdf8dd<={ice0ce2>>1,nrf6ece[13]};vvfc6eb<=nrf6ece[14];rte375e<=nrf6ece[15];xy1baf6<=nrf6ece[16];vvdd7b3<=nrf6ece[17];je861b6<={ww5c9fe>>1,nrf6ece[18]};zm30db3<=nrf6ece[19];zz91ffa<=nrf6ece[20];cb8fb6<=nrf6ece[21];dmebd9f<={ou10f1c>>1,nrf6ece[22]};dzf67dd<=nrf6ece[23];yx5ecfb<=nrf6ece[24];uxb4845<=nrf6ece[25];ira422d<=nrf6ece[26];vk86d9b<=nrf6ece[27];oh36cda<=nrf6ece[28];gqb66d4<=nrf6ece[29];ymb36a1<=nrf6ece[30];ph9b509<=nrf6ece[31];dmda84e<=nrf6ece[32];end +always@* begin bl7edd9[2047]<=ay534c0;bl7edd9[2046]<=blcd797[0];bl7edd9[2044]<=pf6bcbe;bl7edd9[2041]<=qt5e5f7;bl7edd9[2035]<=hdaff1b;bl7edd9[2022]<=en7f8df;bl7edd9[1996]<=aa90a[0];bl7edd9[1945]<=oub3c7c[0];bl7edd9[1922]<=nr74954;bl7edd9[1842]<=jr9e3e0[0];bl7edd9[1797]<=epa4aa7;bl7edd9[1776]<=ou10f1c[0];bl7edd9[1637]<=hof1f06[0];bl7edd9[1622]<=fp6710;bl7edd9[1546]<=xl27f82;bl7edd9[1504]<=an3c700;bl7edd9[1468]<=ph38769;bl7edd9[1391]<=ww5c9fe[0];bl7edd9[1226]<=ir8f833[0];bl7edd9[1197]<=ux33887;bl7edd9[1044]<=xy3fc17;bl7edd9[1023]<=gbe_mode;bl7edd9[961]<=qi878e0;bl7edd9[888]<=zkfbd26;bl7edd9[811]<=ice0ce2[0];bl7edd9[734]<=hoe4ff0;bl7edd9[695]<=qte21e3;bl7edd9[405]<=rg7c19c[0];bl7edd9[347]<=do9c43c;bl7edd9[328]<=sj174c0;bl7edd9[164]<=co82e98;bl7edd9[82]<=uif05d3;bl7edd9[41]<=fafe0ba;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[5]};lf22e73<=nrf6ece[6];gd1739f<=nrf6ece[7];ecb9cfc<=nrf6ece[8];mece7e1<=nrf6ece[9];by73f09<=nrf6ece[10];ir9f84a<={qi82738>>1,nrf6ece[11]};fnfc255<={fp139c1>>1,nrf6ece[12]};rge12ae<={ba9ce0f>>1,nrf6ece[13]};db9573<={wje707a>>1,nrf6ece[14]};fn4ab9e<={nt383d4>>1,nrf6ece[15]};vv55cf6<=nrf6ece[16];wlae7b6<=nrf6ece[17];ps73db4<=nrf6ece[18];rv9eda2<=nrf6ece[19];zxf6d17<=nrf6ece[20];qib68be<=nrf6ece[21];yzb45f0<={fpbf92d>>1,nrf6ece[22]};jea2f84<=nrf6ece[23];qv17c23<={dme4b77>>1,nrf6ece[24]};mtbe11d<=nrf6ece[25];ykf08ed<={jr2ddd2>>1,nrf6ece[26]};ph84769<={rt6ee96>>1,nrf6ece[27]};sj23b4e<={ea774b7>>1,nrf6ece[28]};kf1da75<={thd2dcf>>1,nrf6ece[29]};qted3ac<={je96e7f>>1,nrf6ece[30]};xw69d65<={hqb73fb>>1,nrf6ece[31]};yx4eb2a<={gqb9fdb>>1,nrf6ece[32]};qt75954<={dmcfed9>>1,nrf6ece[33]};aaacaa5<={en7f6ca>>1,nrf6ece[34]};wj65528<=nrf6ece[35];pu2a945<=nrf6ece[36];qt54a2b<=nrf6ece[37];jra515c<=nrf6ece[38];rv28ae7<=nrf6ece[39];dz4573e<=nrf6ece[40];ym2b9f6<=nrf6ece[41];ic5cfb1<=nrf6ece[42];ale7d88<=nrf6ece[43];uk3ec45<=nrf6ece[44];jpf6228<=nrf6ece[45];yzb1147<=nrf6ece[46];ie88a3c<=nrf6ece[47];xj451e1<={rtd8916>>1,nrf6ece[48]};fp28f0e<=nrf6ece[49];jc47876<=nrf6ece[50];wl3c3b2<=nrf6ece[51];xwe1d94<=nrf6ece[52];tweca2<=nrf6ece[53];by76512<={cbb13f9>>1,nrf6ece[54]};lsb2893<=nrf6ece[55];lf9449a<=nrf6ece[56];xya24d7<=nrf6ece[57];ux126ba<=nrf6ece[58];ng935d4<={ofcc7af>>1,nrf6ece[59]};kf9aea2<=nrf6ece[60];vvd7515<=nrf6ece[61];xlba8ae<=nrf6ece[62];ead4576<=nrf6ece[63];twa2bb1<=nrf6ece[64];qi15d8b<={pfe367a>>1,nrf6ece[65]};iraec58<=nrf6ece[66];lq762c5<=nrf6ece[67];ohb162b<={sh7aca3>>1,nrf6ece[68]};end +always@* begin bl7edd9[2047]<=sgmii_mode;bl7edd9[2046]<=mr_main_reset;bl7edd9[2044]<=mr_restart_an;bl7edd9[2040]<=mr_an_enable;bl7edd9[2033]<=mr_adv_ability[1];bl7edd9[2019]<=ip70450;bl7edd9[1999]<=godb2ab;bl7edd9[1991]<=sj8a10b;bl7edd9[1981]<=lf22d89;bl7edd9[1958]<=bl4fe63;bl7edd9[1950]<=tucaac5;bl7edd9[1947]<=ho57893;bl7edd9[1934]<=zx5085d;bl7edd9[1914]<=hd16c4f;bl7edd9[1892]<=ene2fe4;bl7edd9[1868]<=of7f31e;bl7edd9[1852]<=dz5562a;bl7edd9[1851]<=nt383d4[0];bl7edd9[1847]<=qibc49b;bl7edd9[1820]<=db842ee;bl7edd9[1783]<=rtd8916[1];bl7edd9[1780]<=qib627f;bl7edd9[1737]<=cb17f25;bl7edd9[1689]<=rtf98f5;bl7edd9[1657]<=mtab157;bl7edd9[1654]<=cmc1ea6;bl7edd9[1647]<=cze24d8;bl7edd9[1610]<=dme4b77[0];bl7edd9[1608]<=lq7c6cf;bl7edd9[1593]<=ym21776;bl7edd9[1523]<=dmcfed9[0];bl7edd9[1519]<=suc48b6;bl7edd9[1513]<=cbb13f9[0];bl7edd9[1426]<=fpbf92d[0];bl7edd9[1404]<=hqb73fb[0];bl7edd9[1330]<=ofcc7af[1];bl7edd9[1267]<=ne58abc;bl7edd9[1260]<=ld40f17;bl7edd9[1246]<=ir126c4;bl7edd9[1225]<=vk1ebe3;bl7edd9[1199]<=ea774b7[0];bl7edd9[1173]<=pu25bba;bl7edd9[1169]<=pfe367a[0];bl7edd9[1163]<=sh7aca3[1];bl7edd9[1139]<=qi82738[0];bl7edd9[1023]<=gbe_mode;bl7edd9[999]<=en7f6ca[0];bl7edd9[990]<=sw245b1;bl7edd9[979]<=pu89fcc;bl7edd9[973]<=ba2af12;bl7edd9[946]<=an3c5fc;bl7edd9[925]<=wje707a[0];bl7edd9[891]<=xl9b122;bl7edd9[805]<=thfc96e;bl7edd9[804]<=vxaf8d9;bl7edd9[761]<=gqb9fdb[0];bl7edd9[702]<=je96e7f[0];bl7edd9[612]<=ne63d7c;bl7edd9[599]<=rt6ee96[0];bl7edd9[581]<=bycf594;bl7edd9[486]<=nec55e2;bl7edd9[473]<=qv78bf;bl7edd9[462]<=ba9ce0f[0];bl7edd9[445]<=vk93624;bl7edd9[402]<=cmf5f1b;bl7edd9[351]<=thd2dcf[0];bl7edd9[299]<=jr2ddd2[0];bl7edd9[290]<=hq1b3d6;bl7edd9[231]<=fp139c1[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[1]};czdc8a0<=nrf6ece[2];dme4504<=nrf6ece[3];gd1739f<=nrf6ece[4];hq1411f<=nrf6ece[5];tja08fb<={jceec52>>1,nrf6ece[6]};ng47de<=nrf6ece[7];cb23ef2<={th7b8fa>>1,nrf6ece[8]};ks1f795<={aldc7d6>>1,nrf6ece[9]};psfbca8<={the3eb5>>1,nrf6ece[10]};sude542<={qi1f5af>>1,nrf6ece[11]};czf2a10<={kqfad78>>1,nrf6ece[12]};qv95084<=nrf6ece[13];lsa8425<=nrf6ece[14];jc4212b<=nrf6ece[15];ym1095b<=nrf6ece[16];vx84ad8<=nrf6ece[17];ri256c7<=nrf6ece[18];aa2b63b<=nrf6ece[19];wj5b1d8<=nrf6ece[20];jpd8ec4<=nrf6ece[21];jpc7626<=nrf6ece[22];ym3b137<=nrf6ece[23];thd89b9<=nrf6ece[24];icc4dce<=nrf6ece[25];sj26e71<=nrf6ece[26];lf3738d<=nrf6ece[27];mgb9c6f<=nrf6ece[28];ykce379<=nrf6ece[29];vv71bc9<=nrf6ece[30];ou8de4f<=nrf6ece[31];sh6f279<=nrf6ece[32];jc793cc<=nrf6ece[33];yxc9e62<=nrf6ece[34];vv4f315<=nrf6ece[35];vi798af<=nrf6ece[36];uvcc57b<={ohd46e>>1,nrf6ece[37]};ic62bdc<={of6a374>>1,nrf6ece[38]};gq15ee1<=nrf6ece[39];hdaf708<=nrf6ece[40];bl7b846<=nrf6ece[41];mrdc236<=nrf6ece[42];jce11b5<=nrf6ece[43];qv8dab<=nrf6ece[44];go46d5d<=nrf6ece[45];yz36ae8<=nrf6ece[46];lfb5744<=nrf6ece[47];kfaba26<=nrf6ece[48];by5d135<=nrf6ece[49];ale89ab<=nrf6ece[50];wj44d5c<=nrf6ece[51];an26ae5<=nrf6ece[52];vk3572d<={sj2673b>>1,nrf6ece[53]};mtab96a<={gd339dc>>1,nrf6ece[54]};qg5cb55<={vk9cee4>>1,nrf6ece[55]};end +always@* begin bl7edd9[2047]<=rx_data[0];bl7edd9[2046]<=rx_kcntl;bl7edd9[2044]<=baa25a8;bl7edd9[2040]<=sj8a10b;bl7edd9[2032]<=rx_even;bl7edd9[2016]<=jceec52[0];bl7edd9[1985]<=xy9922f;bl7edd9[1922]<=th7b8fa[0];bl7edd9[1867]<=tw2fc19;bl7edd9[1804]<=sh7f840;bl7edd9[1797]<=aldc7d6[0];bl7edd9[1761]<=mg243fc;bl7edd9[1686]<=xj7e0cb;bl7edd9[1623]<=gd339dc[0];bl7edd9[1561]<=thfc204;bl7edd9[1558]<=ks1f1a4;bl7edd9[1547]<=the3eb5[0];bl7edd9[1542]<=th61a8d;bl7edd9[1490]<=fac0bf0;bl7edd9[1475]<=mg21fe1;bl7edd9[1413]<=wjf87c6;bl7edd9[1409]<=ec586a;bl7edd9[1324]<=icf065f;bl7edd9[1200]<=rv197c3;bl7edd9[1199]<=vk9cee4[1];bl7edd9[1074]<=zke1026;bl7edd9[1068]<=qtf8d20;bl7edd9[1047]<=qi1f5af[0];bl7edd9[1037]<=ohd46e[0];bl7edd9[1023]<=mr_main_reset;bl7edd9[933]<=sw5f83;bl7edd9[902]<=ieff08;bl7edd9[880]<=gda487f;bl7edd9[811]<=sj2673b[0];bl7edd9[779]<=yxc3e34;bl7edd9[771]<=mt2c351;bl7edd9[745]<=kq7817e;bl7edd9[706]<=bl5f0f8;bl7edd9[704]<=fc20b0d;bl7edd9[600]<=ls832f8;bl7edd9[440]<=xj7490f;bl7edd9[405]<=vk4ce7;bl7edd9[372]<=phaf02f;bl7edd9[353]<=wwcbe1f;bl7edd9[352]<=yza4161;bl7edd9[220]<=th6e921;bl7edd9[202]<=pf4099c;bl7edd9[186]<=lfb5e05;bl7edd9[176]<=wy3482c;bl7edd9[110]<=ou8dd24;bl7edd9[101]<=oh8133;bl7edd9[93]<=gbd6bc0;bl7edd9[88]<=gbc6905;bl7edd9[55]<=en51ba4;bl7edd9[46]<=kqfad78[0];bl7edd9[27]<=of6a374[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[2]};czdc8a0<=nrf6ece[3];dme4504<=nrf6ece[4];blf6706<={oh26038>>1,nrf6ece[5]};ksb3837<={zm301c4>>1,nrf6ece[6]};ba9c1b8<={sj80e22>>1,nrf6ece[7]};yke0dc3<=nrf6ece[8];db6e18<=nrf6ece[9];bn370c6<=nrf6ece[10];lsb8637<=nrf6ece[11];ofc31ba<=nrf6ece[12];oh18dd5<=nrf6ece[13];wjc6eac<=nrf6ece[14];xl37564<=nrf6ece[15];hdbab27<=nrf6ece[16];hod593e<={zz3850f>>1,nrf6ece[17]};end +always@* begin bl7edd9[2047]<=signal_detect;bl7edd9[2046]<=rx_data[0];bl7edd9[2044]<=rx_kcntl;bl7edd9[2040]<=baa25a8;bl7edd9[2033]<=oh26038[0];bl7edd9[2018]<=zm301c4[0];bl7edd9[1988]<=sj80e22[0];bl7edd9[1929]<=ux7115;bl7edd9[1811]<=lf388ad;bl7edd9[1574]<=qgc456f;bl7edd9[1247]<=cm78e14;bl7edd9[1101]<=bn22b78;bl7edd9[1023]<=mr_main_reset;bl7edd9[894]<=zz3850f[1];bl7edd9[623]<=of6f1c2;bl7edd9[447]<=zxc70a1;bl7edd9[311]<=zmade38;bl7edd9[155]<=co15bc7;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[3]};hq9c6d4<={fc83c7c>>1,nrf6ece[4]};xje36a3<=nrf6ece[5];tw1b51e<=nrf6ece[6];jcda8f2<={al41dd5>>1,nrf6ece[7]};kqd4793<={ip51c9c>>1,nrf6ece[8]};oua3c99<={xl8e4e4>>1,nrf6ece[9]};do1e4c9<=nrf6ece[10];mrf264a<=nrf6ece[11];vk93255<={ba9c9cb>>1,nrf6ece[12]};vk992aa<=nrf6ece[13];enc9553<=nrf6ece[14];nr4aa9c<={gd39696>>1,nrf6ece[15]};hb554e6<=nrf6ece[16];tjaa737<=nrf6ece[17];qg539be<=nrf6ece[18];ls9cdf1<=nrf6ece[19];zxe6f8a<=nrf6ece[20];ph37c57<=nrf6ece[21];qvbe2be<=nrf6ece[22];faf15f5<=nrf6ece[23];oh8afaa<=nrf6ece[24];cb8fb6<=nrf6ece[25];vxb023e<={sh4bef4>>1,nrf6ece[26]};kqf551c<=nrf6ece[27];fp22c08<=nrf6ece[28];yx54707<=nrf6ece[29];wya383d<=nrf6ece[30];rv1c1e9<=nrf6ece[31];wwe0f4f<=nrf6ece[32];nt811f6<=nrf6ece[33];vx3d3c4<=nrf6ece[34];rte9e26<=nrf6ece[35];dz4f136<=nrf6ece[36];go789b7<=nrf6ece[37];cmc4db9<=nrf6ece[38];wl26dc9<=nrf6ece[39];qv36e4d<=nrf6ece[40];xyb726c<={ykeaf80>>1,nrf6ece[41]};kf3c2df<={jr9e3e0>>1,nrf6ece[42]};fae16fc<={hof1f06>>1,nrf6ece[43]};lq4d8c5<={suf005d>>1,nrf6ece[44]};tu6c62b<={oh802e8>>1,nrf6ece[45]};vi6315b<=nrf6ece[46];ep18ada<=nrf6ece[47];tuc56d4<=nrf6ece[48];wy2b6a2<=nrf6ece[49];vvfc6eb<=nrf6ece[50];lqda884<=nrf6ece[51];ayd4423<=nrf6ece[52];nga2119<=nrf6ece[53];qi108ca<=nrf6ece[54];jr84650<=nrf6ece[55];wl23286<=nrf6ece[56];nt19434<={gq34695>>1,nrf6ece[57]};rgca1a7<={uka34a8>>1,nrf6ece[58]};vk86d9b<=nrf6ece[59];oh36cda<=nrf6ece[60];qi34ef2<={kf95007>>1,nrf6ece[61]};baa7791<={pua803d>>1,nrf6ece[62]};ym3bc8a<=nrf6ece[63];mede450<=nrf6ece[64];gbf2280<=nrf6ece[65];bn91405<=nrf6ece[66];wl8a02f<={zkebc66>>1,nrf6ece[67]};fn5017d<={sh5e333>>1,nrf6ece[68]};end +always@* begin bl7edd9[2047]<=hbe6383;bl7edd9[2046]<=gbe_mode;bl7edd9[2044]<=operational_rate[0];bl7edd9[2040]<=fc83c7c[0];bl7edd9[2032]<=pu1e3e2;bl7edd9[2018]<=jr9e3e0[0];bl7edd9[2017]<=dmf1f12;bl7edd9[1989]<=hof1f06[0];bl7edd9[1987]<=al41dd5[0];bl7edd9[1931]<=suf005d[0];bl7edd9[1927]<=ip51c9c[0];bl7edd9[1865]<=ykcb4b4;bl7edd9[1859]<=vv5f7a4;bl7edd9[1844]<=ls93934;bl7edd9[1815]<=oh802e8[0];bl7edd9[1806]<=xl8e4e4[0];bl7edd9[1682]<=vv5a5a1;bl7edd9[1674]<=uka34a8[0];bl7edd9[1671]<=qgeaaec;bl7edd9[1640]<=xy9c9a3;bl7edd9[1582]<=je1744;bl7edd9[1565]<=kq72727;bl7edd9[1488]<=vk93f55;bl7edd9[1485]<=zz224e4;bl7edd9[1326]<=sh4bef4[0];bl7edd9[1317]<=bld2d0e;bl7edd9[1300]<=xl27f82;bl7edd9[1297]<=cb7af1;bl7edd9[1295]<=zx55761;bl7edd9[1233]<=pse4d1a;bl7edd9[1210]<=hbf92fb;bl7edd9[1189]<=kq77722;bl7edd9[1172]<=anb43bb;bl7edd9[1116]<=vkba22;bl7edd9[1105]<=kf95007[0];bl7edd9[1092]<=zkebc66[1];bl7edd9[1087]<=vi5d87d;bl7edd9[1082]<=fc93939;bl7edd9[1023]<=tu76293;bl7edd9[1009]<=ykeaf80[0];bl7edd9[932]<=gd39696[0];bl7edd9[929]<=an9faab;bl7edd9[922]<=kf12726;bl7edd9[837]<=gq34695[0];bl7edd9[744]<=mrf27ea;bl7edd9[742]<=fp6710;bl7edd9[663]<=zkfbd26;bl7edd9[648]<=gqf5e;bl7edd9[605]<=zx64793;bl7edd9[594]<=rieee4;bl7edd9[586]<=vk96877;bl7edd9[552]<=xy3fc17;bl7edd9[546]<=tj3d78c;bl7edd9[543]<=gdabb0f;bl7edd9[504]<=ksfabe;bl7edd9[466]<=fp272d2;bl7edd9[418]<=ir268d2;bl7edd9[372]<=do1e4fd;bl7edd9[371]<=yxe8893;bl7edd9[331]<=gdbb911;bl7edd9[324]<=rt401eb;bl7edd9[297]<=ana1ddc;bl7edd9[252]<=jp61f57;bl7edd9[233]<=vie4e5a;bl7edd9[185]<=rg5d112;bl7edd9[162]<=pua803d[0];bl7edd9[136]<=sh5e333[1];bl7edd9[126]<=blec3ea;bl7edd9[116]<=ba9c9cb[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[0]};xje36a3<=nrf6ece[1];tw1b51e<=nrf6ece[2];jcda8f2<={al41dd5>>1,nrf6ece[3]};kqd4793<={ip51c9c>>1,nrf6ece[4]};oua3c99<={xl8e4e4>>1,nrf6ece[5]};do1e4c9<=nrf6ece[6];mrf264a<=nrf6ece[7];vk93255<={ba9c9cb>>1,nrf6ece[8]};vk992aa<=nrf6ece[9];enc9553<=nrf6ece[10];nr4aa9c<={gd39696>>1,nrf6ece[11]};hb554e6<=nrf6ece[12];tjaa737<=nrf6ece[13];qg539be<=nrf6ece[14];ls9cdf1<=nrf6ece[15];zxe6f8a<=nrf6ece[16];ph37c57<=nrf6ece[17];qvbe2be<=nrf6ece[18];faf15f5<=nrf6ece[19];oh8afaa<=nrf6ece[20];cb8fb6<=nrf6ece[21];vxb023e<={sh4bef4>>1,nrf6ece[22]};kqf551c<=nrf6ece[23];fp22c08<=nrf6ece[24];yx54707<=nrf6ece[25];wya383d<=nrf6ece[26];rv1c1e9<=nrf6ece[27];wwe0f4f<=nrf6ece[28];nt811f6<=nrf6ece[29];vx3d3c4<=nrf6ece[30];rte9e26<=nrf6ece[31];dz4f136<=nrf6ece[32];go789b7<=nrf6ece[33];cmc4db9<=nrf6ece[34];wl26dc9<=nrf6ece[35];qv36e4d<=nrf6ece[36];xyb726c<={ykeaf80>>1,nrf6ece[37]};wl8a02f<={zkebc66>>1,nrf6ece[38]};fn5017d<={sh5e333>>1,nrf6ece[39]};end +always@* begin bl7edd9[2047]<=pu1e3e2;bl7edd9[2046]<=dmf1f12;bl7edd9[2044]<=al41dd5[0];bl7edd9[2040]<=ip51c9c[0];bl7edd9[2033]<=xl8e4e4[0];bl7edd9[2019]<=kq72727;bl7edd9[1991]<=fc93939;bl7edd9[1934]<=ba9c9cb[0];bl7edd9[1898]<=vk96877;bl7edd9[1821]<=vie4e5a;bl7edd9[1749]<=anb43bb;bl7edd9[1707]<=kq77722;bl7edd9[1666]<=an9faab;bl7edd9[1595]<=fp272d2;bl7edd9[1450]<=ana1ddc;bl7edd9[1440]<=mrf27ea;bl7edd9[1384]<=hbf92fb;bl7edd9[1370]<=sh4bef4[0];bl7edd9[1366]<=gdbb911;bl7edd9[1284]<=vv5f7a4;bl7edd9[1142]<=gd39696[0];bl7edd9[1125]<=ykeaf80[0];bl7edd9[1041]<=zx55761;bl7edd9[1023]<=fc83c7c[0];bl7edd9[949]<=bld2d0e;bl7edd9[853]<=rieee4;bl7edd9[833]<=vk93f55;bl7edd9[720]<=do1e4fd;bl7edd9[692]<=zx64793;bl7edd9[685]<=zkfbd26;bl7edd9[562]<=ksfabe;bl7edd9[520]<=qgeaaec;bl7edd9[474]<=vv5a5a1;bl7edd9[407]<=sh5e333[1];bl7edd9[281]<=jp61f57;bl7edd9[237]<=ykcb4b4;bl7edd9[203]<=zkebc66[1];bl7edd9[140]<=blec3ea;bl7edd9[70]<=vi5d87d;bl7edd9[35]<=gdabb0f;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[0]};xje36a3<=nrf6ece[1];tw1b51e<=nrf6ece[2];oua3c99<={xl8e4e4>>1,nrf6ece[3]};do1e4c9<=nrf6ece[4];mrf264a<=nrf6ece[5];oh8afaa<=nrf6ece[6];cb8fb6<=nrf6ece[7];vxb023e<={sh4bef4>>1,nrf6ece[8]};kqf551c<=nrf6ece[9];fp22c08<=nrf6ece[10];yx54707<=nrf6ece[11];wya383d<=nrf6ece[12];rv1c1e9<=nrf6ece[13];nt811f6<=nrf6ece[14];rte9e26<=nrf6ece[15];dz4f136<=nrf6ece[16];go789b7<=nrf6ece[17];cmc4db9<=nrf6ece[18];wl26dc9<=nrf6ece[19];qv36e4d<=nrf6ece[20];end +always@* begin bl7edd9[2047]<=pu1e3e2;bl7edd9[2046]<=dmf1f12;bl7edd9[2044]<=xl8e4e4[0];bl7edd9[2040]<=kq72727;bl7edd9[2033]<=fc93939;bl7edd9[2019]<=gdbb911;bl7edd9[1990]<=zkfbd26;bl7edd9[1939]<=blec3ea;bl7edd9[1933]<=sh4bef4[0];bl7edd9[1831]<=jp61f57;bl7edd9[1819]<=zx64793;bl7edd9[1778]<=zx55761;bl7edd9[1615]<=ksfabe;bl7edd9[1591]<=hbf92fb;bl7edd9[1508]<=gdabb0f;bl7edd9[1135]<=do1e4fd;bl7edd9[1023]<=fc83c7c[0];bl7edd9[969]<=vi5d87d;bl7edd9[889]<=vv5f7a4;bl7edd9[444]<=vk93f55;bl7edd9[222]<=mrf27ea;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[3]};ic53c12<={pf55c79>>1,nrf6ece[4]};bn9e091<=nrf6ece[5];uif048e<=nrf6ece[6];co82470<={uice9eb>>1,nrf6ece[7]};wl12381<=nrf6ece[8];fp91c0c<=nrf6ece[9];ph84769<={rt6ee96>>1,nrf6ece[10]};sj23b4e<={ea774b7>>1,nrf6ece[11]};ykf08ed<={jr2ddd2>>1,nrf6ece[12]};ntcde7<=nrf6ece[13];jc66f3f<=nrf6ece[14];rv379fb<=nrf6ece[15];ecbcfde<={gbf25c0>>1,nrf6ece[16]};ofe7ef1<=nrf6ece[17];do3f78d<=nrf6ece[18];fafbc6b<=nrf6ece[19];ohb162b<={sh7aca3>>1,nrf6ece[20]};end +always@* begin bl7edd9[2047]<=tx_en;bl7edd9[2046]<=tx_er;bl7edd9[2044]<=sueab8f[0];bl7edd9[2040]<=pf55c79[0];bl7edd9[2032]<=anae3ce;bl7edd9[2017]<=gd8f3a7;bl7edd9[1987]<=uice9eb[0];bl7edd9[1927]<=ld74f58;bl7edd9[1865]<=gbf25c0[0];bl7edd9[1806]<=nga7ac7;bl7edd9[1682]<=ym92e05;bl7edd9[1565]<=rt6ee96[0];bl7edd9[1317]<=wy97029;bl7edd9[1172]<=sh7aca3[1];bl7edd9[1082]<=ea774b7[0];bl7edd9[1023]<=mr_main_reset;bl7edd9[932]<=mede4b8;bl7edd9[586]<=dm484c5;bl7edd9[466]<=ym3bc97;bl7edd9[233]<=jpc7792;bl7edd9[116]<=jr2ddd2[0];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[1]};fc35dd0<=nrf6ece[2];sjaee83<=nrf6ece[3];me7741d<=nrf6ece[4];xlba0e9<=nrf6ece[5];jcd074f<=nrf6ece[6];hq83a7b<={blf6935>>1,nrf6ece[7]};gd1d3da<=nrf6ece[8];pfe9ed5<=nrf6ece[9];nr4f6ae<={qv26bac>>1,nrf6ece[10]};ld7b577<={tw35d65>>1,nrf6ece[11]};rgdabbf<={anaeb2b>>1,nrf6ece[12]};xwd5dfb<={al7595d>>1,nrf6ece[13]};mtaefda<=nrf6ece[14];qg77ed5<=nrf6ece[15];zzbf6a8<=nrf6ece[16];zkfb547<=nrf6ece[17];zkdaa3b<=nrf6ece[18];jcd51db<=nrf6ece[19];hqa8edb<=nrf6ece[20];zk476da<=nrf6ece[21];wy3b6d3<=nrf6ece[22];aydb699<=nrf6ece[23];end +always@* begin bl7edd9[2047]<=vv74a74[0];bl7edd9[2046]<=rva53a7;bl7edd9[2044]<=cb29d3f;bl7edd9[2040]<=mr4e9fc;bl7edd9[2032]<=zx74fe4;bl7edd9[2016]<=jpfc90a;bl7edd9[1985]<=blf6935[0];bl7edd9[1922]<=irb49ae;bl7edd9[1796]<=qva4d75;bl7edd9[1544]<=qv26bac[6];bl7edd9[1302]<=cb29546;bl7edd9[1115]<=nr551ae;bl7edd9[1105]<=rg5da29;bl7edd9[1041]<=tw35d65[0];bl7edd9[1023]<=hq3f921;bl7edd9[651]<=nr452a8;bl7edd9[557]<=rt4aa35;bl7edd9[552]<=mg2bb45;bl7edd9[325]<=cm68a55;bl7edd9[276]<=mr65768;bl7edd9[162]<=uved14a;bl7edd9[138]<=coacaed;bl7edd9[69]<=al7595d[0];bl7edd9[34]<=anaeb2b[6];end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43>1,nrf6ece[4]};qi3b914<={rx_data>>1,nrf6ece[5]};czdc8a0<=nrf6ece[6];hq1411f<=nrf6ece[7];kq7d70f<=nrf6ece[8];faeb878<=nrf6ece[9];me5c3c3<=nrf6ece[10];jce1e19<={tx_d>>1,nrf6ece[11]};wy98a9e<=nrf6ece[12];hoc54f0<=nrf6ece[13];zz1c8b9<=nrf6ece[14];db94722<=nrf6ece[15];ksa3917<=nrf6ece[16];tja08fb<={jceec52>>1,nrf6ece[17]};mg88bdd<={fcbbb1>>1,nrf6ece[18]};dz45ee9<={tu5dd8a>>1,nrf6ece[19]};dme4504<=nrf6ece[20];vv7ba7a<={jebda89>>1,nrf6ece[21]};rtdd3d6<=nrf6ece[22];zxe9eb7<=nrf6ece[23];pf4f5be<=nrf6ece[24];al7adf7<=nrf6ece[25];end6fbc<=nrf6ece[26];twb7de5<=nrf6ece[27];wlbef2a<=nrf6ece[28];byf199c<=nrf6ece[29];vk86d9b<=nrf6ece[30];oh36cda<=nrf6ece[31];gqb66d4<=nrf6ece[32];ymb36a1<=nrf6ece[33];ph9b509<=nrf6ece[34];dmda84e<=nrf6ece[35];nt9729<=nrf6ece[36];gb4b94e<=nrf6ece[37];ay5ca74<=nrf6ece[38];mee53a0<=nrf6ece[39];oh29d01<=nrf6ece[40];en4e80c<=nrf6ece[41];nr74064<=nrf6ece[42];bna0326<=nrf6ece[43];do1937<=nrf6ece[44];fpc9bb<=nrf6ece[45];zx64ddf<=nrf6ece[46];kf26efe<={ba2a2bb>>1,nrf6ece[47]};zz377f5<=nrf6ece[48];wlbbfaf<=nrf6ece[49];xwdfd7c<={jc576ac>>1,nrf6ece[50]};tufebe3<=nrf6ece[51];ykf5f1a<=nrf6ece[52];phaf8d1<=nrf6ece[53];ps7c68f<=nrf6ece[54];vve347a<=nrf6ece[55];co1a3d6<=nrf6ece[56];cmd1eb0<=nrf6ece[57];end +always@* begin bl7edd9[2047]<=sgmii_mode;bl7edd9[2046]<=signal_detect;bl7edd9[2044]<=debug_link_timer_short;bl7edd9[2040]<=operational_rate[0];bl7edd9[2032]<=rx_data[0];bl7edd9[2017]<=rx_kcntl;bl7edd9[1987]<=rx_even;bl7edd9[1926]<=rx_disp_err;bl7edd9[1805]<=sj174c0;bl7edd9[1804]<=rx_cv_err;bl7edd9[1803]<=qt6a25d;bl7edd9[1761]<=fafe0ba;bl7edd9[1668]<=bydf425;bl7edd9[1562]<=tuebfab;bl7edd9[1560]<=rx_err_decode_mode;bl7edd9[1558]<=ri89765;bl7edd9[1550]<=mr_restart_an;bl7edd9[1475]<=uif05d3;bl7edd9[1464]<=xl27f82;bl7edd9[1288]<=uifa12a;bl7edd9[1076]<=xj5fd5b;bl7edd9[1072]<=tx_d[0];bl7edd9[1069]<=mr4bb2a;bl7edd9[1056]<=vx84a8a;bl7edd9[1052]<=jceec52[0];bl7edd9[1028]<=jc576ac[0];bl7edd9[1023]<=gbe_mode;bl7edd9[902]<=co82e98;bl7edd9[901]<=czed44b;bl7edd9[880]<=xy3fc17;bl7edd9[834]<=yx5be84;bl7edd9[775]<=mr_main_reset;bl7edd9[732]<=tu76293;bl7edd9[621]<=mtab449;bl7edd9[528]<=lqd0951;bl7edd9[514]<=ux8aed5;bl7edd9[450]<=jebda89[0];bl7edd9[417]<=kfab7d0;bl7edd9[387]<=mr_an_enable;bl7edd9[366]<=cz65516;bl7edd9[310]<=qi15689;bl7edd9[257]<=en515da;bl7edd9[225]<=baa25a8;bl7edd9[208]<=ykf56fa;bl7edd9[193]<=tx_er;bl7edd9[183]<=rtecaa2;bl7edd9[155]<=go62ad1;bl7edd9[128]<=ba2a2bb[0];bl7edd9[112]<=tu5dd8a[0];bl7edd9[104]<=tufeadf;bl7edd9[96]<=tx_en;bl7edd9[91]<=xw5d954;bl7edd9[77]<=ouac55a;bl7edd9[64]<=ri25457;bl7edd9[56]<=fcbbb1[0];bl7edd9[38]<=rgd58ab;bl7edd9[19]<=nedab15;bl7edd9[9]<=epbb562;end assign kd60607 = bl7edd9,nrf6ece = yz181ef; initial begin xweb9c8 = $fopen(".fred"); $fdisplay( xweb9c8, "%3h\n%3h", (czd9d9d >> 4) & gq9d818, (czd9d9d >> (vi76760+4)) & gq9d818 ); $fclose(xweb9c8); $readmemh(".fred", oh7beb); end always @ (kd60607) begin qgefae7 = oh7beb[1]; for (ay5ce43=0; ay5ce43