From: hadeshyp Date: Fri, 11 May 2012 17:30:21 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~69 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=d233d030e41642d9272870e4f86cce4b2d76a565;p=trbnet.git *** empty log message *** --- diff --git a/gbe2_ecp3/trb_net16_gbe_main_control.vhd b/gbe2_ecp3/trb_net16_gbe_main_control.vhd index 30bb2b8..659c6fd 100644 --- a/gbe2_ecp3/trb_net16_gbe_main_control.vhd +++ b/gbe2_ecp3/trb_net16_gbe_main_control.vhd @@ -174,9 +174,18 @@ signal stats_ctr : integer range 0 to 15; signal stat_data : std_logic_vector(31 downto 0); signal stat_addr : std_logic_vector(7 downto 0); +signal unique_id : std_logic_vector(63 downto 0); + +attribute syn_preserve : boolean; +attribute syn_keep : boolean; +attribute syn_keep of unique_id : signal is true; +attribute syn_preserve of unique_id : signal is true; + begin +unique_id <= MC_UNIQUE_ID_IN; + protocol_selector : trb_net16_gbe_protocol_selector port map( CLK => CLK, @@ -601,7 +610,7 @@ MC_LINK_OK_OUT <= link_ok; --************* -- GENERATE MAC_ADDRESS --TODO: take the unique id from regio and generate a mac address -g_MY_MAC <= x"efbeefbe0000"; +g_MY_MAC <= unique_id(15 downto 0) & x"efbe0000"; -- --************* diff --git a/gbe2_ecp3/trb_net16_gbe_response_constructor_SCTRL.vhd b/gbe2_ecp3/trb_net16_gbe_response_constructor_SCTRL.vhd index 0af43f0..90d1691 100644 --- a/gbe2_ecp3/trb_net16_gbe_response_constructor_SCTRL.vhd +++ b/gbe2_ecp3/trb_net16_gbe_response_constructor_SCTRL.vhd @@ -199,7 +199,7 @@ TC_FRAME_SIZE_OUT <= tx_data_ctr; TC_FRAME_TYPE_OUT <= x"0008"; TC_DEST_MAC_OUT <= PS_SRC_MAC_ADDRESS_IN; TC_DEST_IP_OUT <= PS_SRC_IP_ADDRESS_IN; -TC_DEST_UDP_OUT <= x"a861"; +TC_DEST_UDP_OUT <= PS_SRC_UDP_PORT_IN; --x"a861"; TC_SRC_MAC_OUT <= g_MY_MAC; TC_SRC_IP_OUT <= g_MY_IP; TC_SRC_UDP_OUT <= x"a861";