From: hadeshyp Date: Wed, 26 Aug 2009 11:50:28 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~390 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=dbc8202f2fb7178eed415db3081d87c5f4d743b8;p=trbnet.git *** empty log message *** --- diff --git a/trb_net16_hub_streaming_port.vhd b/trb_net16_hub_streaming_port.vhd index 41b51cb..e174e66 100644 --- a/trb_net16_hub_streaming_port.vhd +++ b/trb_net16_hub_streaming_port.vhd @@ -10,7 +10,7 @@ use work.trb_net16_hub_func.all; --the first media interface is always the uplink & stream output! -entity trb_net16_hub_streaming is +entity trb_net16_hub_streaming_port is generic( --hub control HUB_CTRL_CHANNELNUM : integer range 0 to 3 := c_SLOW_CTRL_CHANNEL; @@ -64,7 +64,7 @@ entity trb_net16_hub_streaming is CTS_READOUT_FINISHED_IN : in std_logic; --no more data, end transfer, send TRM CTS_READ_OUT : out std_logic; CTS_LENGTH_IN : in std_logic_vector (15 downto 0); - CTS_ERROR_PATTERN_IN : in std_logic_vector (31 downto 0); + CTS_STATUS_BITS_IN : in std_logic_vector (31 downto 0); -- Data from Frontends FEE_DATA_OUT : out std_logic_vector (31 downto 0); @@ -82,6 +82,19 @@ entity trb_net16_hub_streaming is ONEWIRE_MONITOR_IN : in std_logic; MY_ADDRESS_OUT : out std_logic_vector(15 downto 0); + --REGIO INTERFACE + REGIO_ADDR_OUT : out std_logic_vector(16-1 downto 0); + REGIO_READ_ENABLE_OUT : out std_logic; + REGIO_WRITE_ENABLE_OUT : out std_logic; + REGIO_DATA_OUT : out std_logic_vector(32-1 downto 0); + REGIO_DATA_IN : in std_logic_vector(32-1 downto 0) := (others => '0'); + REGIO_DATAREADY_IN : in std_logic := '0'; + REGIO_NO_MORE_DATA_IN : in std_logic := '0'; + REGIO_WRITE_ACK_IN : in std_logic := '0'; + REGIO_UNKNOWN_ADDR_IN : in std_logic := '0'; + REGIO_TIMEOUT_OUT : out std_logic; + + --status and control ports HUB_STAT_CHANNEL : out std_logic_vector (2**(c_MUX_WIDTH-1)*16-1 downto 0); HUB_STAT_GEN : out std_logic_vector (31 downto 0); @@ -96,7 +109,7 @@ entity trb_net16_hub_streaming is end entity; -architecture trb_net16_hub_streaming_arch of trb_net16_hub_streaming is +architecture trb_net16_hub_streaming_arch of trb_net16_hub_streaming_port is constant mii : integer := MII_NUMBER-1; @@ -232,6 +245,17 @@ begin INT_REPLY_DATA_IN => hub_reply_data_in, INT_REPLY_PACKET_NUM_IN => hub_reply_packet_num_in, INT_REPLY_READ_OUT => hub_reply_read_out, + --REGIO INTERFACE + REGIO_ADDR_OUT => REGIO_ADDR_OUT, + REGIO_READ_ENABLE_OUT => REGIO_READ_ENABLE_OUT, + REGIO_WRITE_ENABLE_OUT => REGIO_WRITE_ENABLE_OUT, + REGIO_DATA_OUT => REGIO_DATA_OUT, + REGIO_DATA_IN => REGIO_DATA_IN, + REGIO_DATAREADY_IN => REGIO_DATAREADY_IN, + REGIO_NO_MORE_DATA_IN => REGIO_NO_MORE_DATA_IN, + REGIO_WRITE_ACK_IN => REGIO_WRITE_ACK_IN, + REGIO_UNKNOWN_ADDR_IN => REGIO_UNKNOWN_ADDR_IN, + REGIO_TIMEOUT_OUT => REGIO_TIMEOUT_OUT, ONEWIRE => ONEWIRE, ONEWIRE_MONITOR_IN => ONEWIRE_MONITOR_IN, @@ -535,7 +559,7 @@ begin CTS_READOUT_FINISHED_IN => CTS_READOUT_FINISHED_IN, CTS_READ_OUT => CTS_READ_OUT, CTS_LENGTH_IN => CTS_LENGTH_IN, - CTS_ERROR_PATTERN_IN => CTS_ERROR_PATTERN_IN, + CTS_STATUS_BITS_IN => CTS_STATUS_BITS_IN, -- Data from Frontends FEE_DATA_OUT => FEE_DATA_OUT, @@ -544,7 +568,7 @@ begin FEE_STATUS_BITS_OUT => FEE_STATUS_BITS_OUT, FEE_BUSY_OUT => FEE_BUSY_OUT, - MY_ADDRESS_IN => IPU_MY_ADDRESS_IN, + MY_ADDRESS_IN => MY_ADDRESS_IN, CTRL_SEQNR_RESET => common_ctrl(10) );