From: Michael Boehmer Date: Tue, 28 Jun 2022 11:21:04 +0000 (+0200) Subject: cleanup X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=e000229f3f4bd0729c56b6b5a67f63c753de9003;p=TOMcat.git cleanup --- diff --git a/gbe/tomcat_gbe.vhd b/gbe/tomcat_gbe.vhd index 833ef57..7f97d12 100644 --- a/gbe/tomcat_gbe.vhd +++ b/gbe/tomcat_gbe.vhd @@ -93,7 +93,6 @@ architecture arch of tomcat_gbe is attribute syn_preserve of GSR_N : signal is true; ---------------------------------------------------------------------------- - signal debug_clock_reset : std_logic_vector(31 downto 0); signal debug_tools : std_logic_vector(31 downto 0); @@ -228,10 +227,8 @@ begin SD_PRSNT_N_IN => SFP_MOD_0, SD_LOS_IN => SFP_LOS, SD_TXDIS_OUT => SFP_TX_DIS, - SD_LED_GREEN_OUT => sd_led_green, - SD_LED_YELLOW_OUT => sd_led_yellow, - SD_LED_RED_OUT => sd_led_red, -- trigger channel + -- only for LINK_HAS_READOUT CTS_NUMBER_IN => (others => '0'), --gbe_cts_number, CTS_CODE_IN => (others => '0'), --gbe_cts_code, CTS_INFORMATION_IN => (others => '0'), --gbe_cts_information, @@ -244,6 +241,7 @@ begin CTS_LENGTH_OUT => open, CTS_ERROR_PATTERN_OUT => open, --gbe_cts_status_bits, -- data channel + -- only for LINK_HAS_READOUT FEE_DATA_IN => (others => '0'), --gbe_fee_data, FEE_DATAREADY_IN => '0', --gbe_fee_dataready, FEE_READ_OUT => open, --gbe_fee_read, @@ -263,15 +261,26 @@ begin GSC_REPLY_DATA_IN => gsc_reply_data, GSC_REPLY_PACKET_NUM_IN => gsc_reply_packet_num, GSC_REPLY_READ_OUT => gsc_reply_read, - GSC_BUSY_IN => gsc_busy, + GSC_BUSY_IN => gsc_busy, -- readout - BUS_IP_RX => open, --busgbeip_rx, - BUS_IP_TX => open, --busgbeip_tx, - BUS_REG_RX => open, --busgbereg_rx, - BUS_REG_TX => open, --busgbereg_tx, - -- reset + BUS_IP_RX => open, --busgbeip_rx, -- registers inside GbE + BUS_IP_TX => open, --busgbeip_tx, -- registers inside GbE + BUS_REG_RX => open, --busgbereg_rx, -- registers inside GbE + BUS_REG_TX => open, --busgbereg_tx, -- registers inside GbE + -- Forwarder + FWD_DST_MAC_IN => (others => '0), + FWD_DST_IP_IN => (others => '0), + FWD_DST_UDP_IN => (others => '0), + FWD_DATA_IN => (others => '0), + FWD_DATA_VALID_IN => '0', + FWD_SOP_IN => '0', + FWD_EOP_IN => '0', + FWD_READY_OUT => open, + FWD_FULL_OUT => open, + -- reset MAKE_RESET_OUT => reset_via_gbe, -- reset by GbE - -- debug + -- debug and status + STATUS_OUT => open, DEBUG_OUT => debug --open ); @@ -292,9 +301,9 @@ begin ------------------------------------------------------------------------------- -- LED ------------------------------------------------------------------------------- - LED_SFP_GREEN <= not sd_led_green; - LED_SFP_RED <= not sd_led_red; - LED_SFP_YELLOW <= not sd_led_yellow; + LED_SFP_GREEN <= not '0'; --sd_led_green; + LED_SFP_RED <= not '0'; --sd_led_red; + LED_SFP_YELLOW <= not '0'; --sd_led_yellow; LED(3) <= not '0'; LED(2) <= not '0'; LED(1) <= not '0';