From: Jan Michel Date: Thu, 5 Jul 2018 08:53:22 +0000 (+0200) Subject: cleaning unused signals X-Git-Tag: v2.3~8 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=e497d97f435cb3af626f6bdeee6d8db592785581;p=tdc.git cleaning unused signals --- diff --git a/releases/tdc_v2.3/Channel.vhd b/releases/tdc_v2.3/Channel.vhd index 02fb0e8..f6c96a3 100644 --- a/releases/tdc_v2.3/Channel.vhd +++ b/releases/tdc_v2.3/Channel.vhd @@ -36,11 +36,11 @@ entity Channel is EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0); -- EPOCH_WRITE_EN_IN : in std_logic; - LOST_HIT_NUMBER : out std_logic_vector(23 downto 0); - HIT_DETECT_NUMBER : out std_logic_vector(30 downto 0); - ENCODER_START_NUMBER : out std_logic_vector(23 downto 0); - ENCODER_FINISHED_NUMBER : out std_logic_vector(23 downto 0); - FIFO_WRITE_NUMBER : out std_logic_vector(23 downto 0); + LOST_HIT_NUMBER : out std_logic_vector(23 downto 0) := (others => '0'); + HIT_DETECT_NUMBER : out std_logic_vector(30 downto 0) := (others => '0'); + ENCODER_START_NUMBER : out std_logic_vector(23 downto 0) := (others => '0'); + ENCODER_FINISHED_NUMBER : out std_logic_vector(23 downto 0) := (others => '0'); + FIFO_WRITE_NUMBER : out std_logic_vector(23 downto 0) := (others => '0'); -- Channel_200_DEBUG_OUT : out std_logic_vector(31 downto 0); Channel_DEBUG_OUT : out std_logic_vector(31 downto 0) @@ -79,15 +79,11 @@ architecture Channel of Channel is signal encoder_start_100 : std_logic; signal fifo_write : std_logic; signal fifo_write_100 : std_logic; - signal lost_hit_cntr : unsigned(23 downto 0); - signal hit_detect_cntr : unsigned(30 downto 0); - signal encoder_start_cntr : unsigned(23 downto 0); - signal encoder_finished_cntr : unsigned(23 downto 0); - signal fifo_write_cntr : unsigned(23 downto 0); +-- signal hit_detect_cntr : unsigned(30 downto 0); +-- signal encoder_start_cntr : unsigned(23 downto 0); +-- signal encoder_finished_cntr : unsigned(23 downto 0); +-- signal fifo_write_cntr : unsigned(23 downto 0); signal channel_200_debug : std_logic_vector(31 downto 0); - signal ch_buffer_counter : unsigned(15 downto 0) := (others => '0'); - signal ch_buffer_out_counter : unsigned(15 downto 0) := (others => '0'); - signal ch_buffer_valid_counter : unsigned(15 downto 0) := (others => '0'); -- other @@ -212,6 +208,13 @@ begin HIT_DETECT_NUMBER <= (others => '0'); -- Moved to TDC.vhd gen_DEBUG : if DEBUG = c_YES generate + signal ch_buffer_counter : unsigned(15 downto 0) := (others => '0'); + signal ch_buffer_out_counter : unsigned(15 downto 0) := (others => '0'); + signal ch_buffer_valid_counter : unsigned(15 downto 0) := (others => '0'); + signal lost_hit_cntr : unsigned(23 downto 0) := (others => '0'); + + begin + --purpose: Counts the detected but unwritten hits Lost_Hit_Counter : process (CLK_100) begin @@ -239,13 +242,9 @@ begin ch_buffer_counter <= ch_buffer_counter + to_unsigned(1, 16); end if; end if; - --elsif encoder_start_100 = '1' then - -- encoder_start_cntr <= encoder_start_cntr + to_unsigned(1, 24); - --end if; end if; end process Encoder_Start_Counter; - --ENCODER_START_NUMBER <= std_logic_vector(encoder_start_cntr) when rising_edge(CLK_100); ENCODER_START_NUMBER(15 downto 0) <= std_logic_vector(ch_buffer_counter) when rising_edge(CLK_100); --purpose: Counts the encoder finished signals @@ -260,7 +259,6 @@ begin end if; end process ENCODER_FINISHED_Counter; - --ENCODER_FINISHED_NUMBER <= std_logic_vector(encoder_finished_cntr) when rising_edge(CLK_100); ENCODER_FINISHED_NUMBER(15 downto 0) <= std_logic_vector(ch_buffer_out_counter) when rising_edge(CLK_100); --purpose: Counts the written hits @@ -277,7 +275,6 @@ begin end if; end process FIFO_WRITE_Counter; - --FIFO_WRITE_NUMBER <= std_logic_vector(fifo_write_cntr) when rising_edge(CLK_100); FIFO_WRITE_NUMBER(15 downto 0) <= std_logic_vector(ch_buffer_valid_counter) when rising_edge(CLK_100); end generate gen_DEBUG;