From: Andreas Neiser Date: Wed, 27 May 2015 17:53:17 +0000 (+0200) Subject: Fix correct ADC selection for TDC input X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=e6204c28e6b711672f3ac06b50ec89264d007d08;p=trb3.git Fix correct ADC selection for TDC input --- diff --git a/ADC/source/adc_handler.vhd b/ADC/source/adc_handler.vhd index 0e3df7d..27d5ceb 100644 --- a/ADC/source/adc_handler.vhd +++ b/ADC/source/adc_handler.vhd @@ -80,6 +80,8 @@ architecture adc_handler_arch of adc_handler is signal adc_clk : std_logic_vector(DEVICES downto 1) := (others => '1'); signal adc_clk_left, adc_clk_right : std_logic := '1'; + + signal adc_clk_tdc_out_i : std_logic_vector(DEVICES-1 downto 0); -- 000 - 0ff configuration -- 000 reset, buffer clear strobes @@ -388,9 +390,11 @@ begin DEBUG_BUFFER_READY => buffer_ready(i), READOUT_RX => READOUT_RX, READOUT_TX => READOUT_TX(i), - ADC_CLK_TDC_OUT => ADC_CLK_TDC_OUT + ADC_CLK_TDC_OUT => adc_clk_tdc_out_i(i) ); end generate; + + ADC_CLK_TDC_OUT <= adc_clk_tdc_out_i(5); -- select 5 as it's closest to the TDC placement config_cfd.BaselineAlwaysOn <= buffer_ctrl_reg(4); diff --git a/ADC/source/adc_processor_cfd.vhd b/ADC/source/adc_processor_cfd.vhd index 91219e5..d7a9b4b 100644 --- a/ADC/source/adc_processor_cfd.vhd +++ b/ADC/source/adc_processor_cfd.vhd @@ -82,7 +82,7 @@ begin busy_in_adc <= busy_in_sys when rising_edge(CLK_ADC); busy_out_sys <= busy_out_adc when rising_edge(CLK_SYS); - ADC_CLK_TDC_OUT <= debug_adc(5).EpochCounter(10); + ADC_CLK_TDC_OUT <= debug_adc(0).EpochCounter(10); gen_cfd : for i in 0 to CHANNELS - 1 generate trigger_gen(i) <= debug_sys(i).Trigger;