From: Cahit Date: Mon, 31 Mar 2014 10:14:06 +0000 (+0200) Subject: input_statistics entity decleration added to the trb3_components package X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=e7d612009d4bc19dd8236ff9a5a576856767fe38;p=trb3.git input_statistics entity decleration added to the trb3_components package --- diff --git a/base/trb3_components.vhd b/base/trb3_components.vhd index 3b250ce..75ecbcc 100644 --- a/base/trb3_components.vhd +++ b/base/trb3_components.vhd @@ -687,7 +687,22 @@ package trb3_components is ADDR_IN : in std_logic_vector(15 downto 0) := (others => '0') ); - end component; + end component; + + component input_statistics is + generic ( + INPUTS : integer range 1 to 32); + port ( + CLK : in std_logic; + INPUT : in std_logic_vector(INPUTS-1 downto 0); + DATA_IN : in std_logic_vector(31 downto 0) := (others => '0'); + DATA_OUT : out std_logic_vector(31 downto 0); + WRITE_IN : in std_logic := '0'; + READ_IN : in std_logic := '0'; + ACK_OUT : out std_logic; + NACK_OUT : out std_logic; + ADDR_IN : in std_logic_vector(15 downto 0) := (others => '0')); + end component input_statistics; component serdes_full_ctc is