From: Andreas Neiser Date: Fri, 6 Feb 2015 17:38:24 +0000 (+0100) Subject: Default values for PSA signals X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=e90ab76c0d3a32d9f899b6ad74d7da2462b9e58d;p=trb3.git Default values for PSA signals --- diff --git a/ADC/sim/tb_adcprocessor.vhd b/ADC/sim/tb_adcprocessor.vhd index 5b26c3f..e6397b5 100644 --- a/ADC/sim/tb_adcprocessor.vhd +++ b/ADC/sim/tb_adcprocessor.vhd @@ -64,9 +64,9 @@ signal readout_rx : READOUT_RX; signal readout_tx : READOUT_TX; signal control : std_logic_vector(63 downto 0); -signal psa_data : std_logic_vector(8 downto 0); -signal psa_write : std_logic; -signal psa_addr : std_logic_vector(7 downto 0); +signal psa_data : std_logic_vector(8 downto 0) := (others => '0'); +signal psa_write : std_logic := '0'; +signal psa_addr : std_logic_vector(7 downto 0) := (others => '0'); begin