From: Jan Michel Date: Wed, 26 Jun 2013 13:30:02 +0000 (+0200) Subject: corrected reset in gbe hub X-Git-Tag: oldGBE~3 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=edbae061ead05dfa497977eba9332e19ff640e86;p=trbnet.git corrected reset in gbe hub --- diff --git a/.gitignore b/.gitignore index f8c0b32..5938fbd 100644 --- a/.gitignore +++ b/.gitignore @@ -12,3 +12,4 @@ version.vhd *.log workdir *.kate-swp +*.kate* diff --git a/gbe2_ecp3/trb_net_gbe_components.vhd b/gbe2_ecp3/trb_net_gbe_components.vhd index 94c3f33..f8e0e1b 100644 --- a/gbe2_ecp3/trb_net_gbe_components.vhd +++ b/gbe2_ecp3/trb_net_gbe_components.vhd @@ -782,7 +782,7 @@ port( DBG_FC2_IN : in std_logic_vector(31 downto 0); DBG_FT1_IN : in std_logic_vector(31 downto 0); DBG_FT2_IN : in std_logic_vector(31 downto 0); - DBG_FR_IN : in std_logic_vector(95 downto 0); + DBG_FR_IN : in std_logic_vector(63 downto 0); DBG_RC_IN : in std_logic_vector(63 downto 0); DBG_MC_IN : in std_logic_vector(63 downto 0); DBG_TC_IN : in std_logic_vector(31 downto 0); diff --git a/trb_net16_hub_streaming_port_sctrl.vhd b/trb_net16_hub_streaming_port_sctrl.vhd index c54b104..6438936 100644 --- a/trb_net16_hub_streaming_port_sctrl.vhd +++ b/trb_net16_hub_streaming_port_sctrl.vhd @@ -226,7 +226,7 @@ begin end generate; - hub_make_network_reset <= external_send_reset_long or med_stat_op((MII_NUMBER-1)*16+13); + hub_make_network_reset <= external_send_reset_long or med_stat_op((MII_NUMBER-1)*16+15); make_gbe_reset : process begin wait until rising_edge(CLK); diff --git a/trb_net_components.vhd b/trb_net_components.vhd index 1ebf32b..6d6c05b 100644 --- a/trb_net_components.vhd +++ b/trb_net_components.vhd @@ -1322,6 +1322,7 @@ component handler_trigger_and_data is FEE_DATA_ALMOST_FULL_OUT : out std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0); TMG_TRG_ERROR_IN : in std_logic; + MAX_EVENT_SIZE_IN : in std_logic_vector(15 downto 0); --Status Registers STATUS_OUT : out std_logic_vector(127 downto 0); STAT_DATA_BUFFER_LEVEL : out std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0);