From: Tobias Weber Date: Thu, 5 Sep 2013 10:56:31 +0000 (+0200) Subject: Bugfixes in SLV_Readout X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=ef569246d890cba5d1dd27b17bb3fa9260d66050;p=trb3.git Bugfixes in SLV_Readout --- diff --git a/mupix/sources/EventBuffer.vhd b/mupix/sources/EventBuffer.vhd index 02fc0ab..0a9a5da 100644 --- a/mupix/sources/EventBuffer.vhd +++ b/mupix/sources/EventBuffer.vhd @@ -55,7 +55,7 @@ architecture Behavioral of EventBuffer is signal FiFo_Read_S_fsm : FiFo_Read_S_States := idle; signal fifo_start_read : std_logic; signal fifo_read_s : std_logic; - signal fifo_reading_s : std_logic; + signal fifo_reading_s : std_logic := '0'; signal fifo_read_done_s : std_logic; signal fifo_read_busy_s : std_logic; diff --git a/mupix/sources/MuPix3_PixCtr.vhd b/mupix/sources/MuPix3_PixCtr.vhd index 24ac6fd..7acf0fc 100644 --- a/mupix/sources/MuPix3_PixCtr.vhd +++ b/mupix/sources/MuPix3_PixCtr.vhd @@ -34,7 +34,7 @@ architecture Behavioral of PixCtr is signal slowcontrol_reg_old : std_logic_vector(31 downto 0); signal start_write_mupix : std_logic; signal done_write_mupix : std_logic; - signal busy_write_mupix : std_logic; + signal busy_write_mupix : std_logic := '0'; type delay_type is (idle, delay1, done); signal delay_fsm : delay_type := idle;