From: Jan Michel Date: Fri, 9 Mar 2018 15:21:32 +0000 (+0100) Subject: fix reset in hub with GbE X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=f8cccf8f0600f9a91a91e78d67aef7d6ed4b2ba9;p=trb3sc.git fix reset in hub with GbE --- diff --git a/hub/config_compile_frankfurt.pl b/hub/config_compile_frankfurt.pl index 38b6777..dc1b312 100644 --- a/hub/config_compile_frankfurt.pl +++ b/hub/config_compile_frankfurt.pl @@ -2,8 +2,8 @@ TOPNAME => "trb3sc_hub", lm_license_file_for_synplify => "27020\@jspc29", #"27000\@lxcad01.gsi.de"; lm_license_file_for_par => "1702\@hadeb05.gsi.de", lattice_path => '/d/jspc29/lattice/diamond/3.9_x64', -# synplify_path => '/d/jspc29/lattice/synplify/K-2015.09/', -synplify_path => '/d/jspc29/lattice/synplify/L-2016.09-1/', +synplify_path => '/d/jspc29/lattice/synplify/K-2015.09/', +#synplify_path => '/d/jspc29/lattice/synplify/L-2016.09-1/', #synplify_command => "/d/jspc29/lattice/diamond/3.5_x64/bin/lin64/synpwrap -fg -options", #synplify_command => "/d/jspc29/lattice/synplify/J-2014.09-SP2/bin/synplify_premier_dp", @@ -18,4 +18,3 @@ include_GBE => 0, #Report settings firefox_open => 0, twr_number_of_errors => 20, - diff --git a/hub/par.p2t b/hub/par.p2t index cb0ad8d..38cb372 100644 --- a/hub/par.p2t +++ b/hub/par.p2t @@ -4,7 +4,7 @@ -n 1 -y -s 12 --t 26 +-t 32 -c 1 -e 2 #-g guidefile.ncd diff --git a/hub/trb3sc_hub.vhd b/hub/trb3sc_hub.vhd index 37a76fb..abb1f9c 100644 --- a/hub/trb3sc_hub.vhd +++ b/hub/trb3sc_hub.vhd @@ -96,6 +96,7 @@ architecture trb3sc_arch of trb3sc_hub is signal reset_i : std_logic; signal clear_i : std_logic; signal do_reboot_i, reboot_from_gbe : std_logic; + signal external_reset_i : std_logic; signal time_counter : unsigned(31 downto 0) := (others => '0'); signal led : std_logic_vector(1 downto 0); @@ -187,6 +188,7 @@ THE_CLOCK_RESET : entity work.clock_reset_handler NET_CLK_FULL_IN => med2int(INTERFACE_NUM-1).clk_full, NET_CLK_HALF_IN => med2int(INTERFACE_NUM-1).clk_half, RESET_FROM_NET => med2int(INTERFACE_NUM-1).stat_op(13), + SEND_RESET_IN => med2int(INTERFACE_NUM-1).stat_op(15), BUS_RX => bustc_rx, BUS_TX => bustc_tx, @@ -584,7 +586,7 @@ gen_hub_with_gbe : if INCLUDE_GBE = c_YES generate CLK_EN => '1', --Media interfacces - MEDIA_MED2INT => med2int(0 to INTERFACE_NUM-1 ), + MEDIA_MED2INT => med2int(0 to INTERFACE_NUM-1), MEDIA_INT2MED => int2med(0 to INTERFACE_NUM-1), --Event information coming from CTSCTS_READOUT_TYPE_OUT @@ -613,7 +615,7 @@ gen_hub_with_gbe : if INCLUDE_GBE = c_YES generate ONEWIRE => TEMPSENS, MY_ADDRESS_OUT => my_address, UNIQUE_ID_OUT => mc_unique_id, - EXTERNAL_SEND_RESET => reset_via_gbe, + EXTERNAL_SEND_RESET => external_reset_i, BUS_RX => ctrlbus_rx, BUS_TX => ctrlbus_tx, @@ -642,7 +644,7 @@ gen_hub_with_gbe : if INCLUDE_GBE = c_YES generate STAT_DEBUG => open, CTRL_DEBUG => (others => '0') ); - + external_reset_i <= reset_via_gbe or med2int(INTERFACE_NUM-1).stat_op(15); end generate;