From: Jan Michel Date: Wed, 12 Aug 2015 09:31:41 +0000 (+0200) Subject: a bit of clean-up X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=f9b147fd204ca143faa983242013f8393d0b4711;p=trb3sc.git a bit of clean-up --- diff --git a/template/config_compile.pl b/template/config_compile.pl index 67b86a0..52cd2ef 120000 --- a/template/config_compile.pl +++ b/template/config_compile.pl @@ -1 +1 @@ -config_compile_gsi.pl \ No newline at end of file +config_compile_frankfurt.pl \ No newline at end of file diff --git a/template/trb3sc_basic.vhd b/template/trb3sc_basic.vhd index 2f23b8d..6992a44 100644 --- a/template/trb3sc_basic.vhd +++ b/template/trb3sc_basic.vhd @@ -66,8 +66,8 @@ entity trb3sc_basic is SFP_MOD2 : inout std_logic_vector(1 downto 0) := (others => 'Z'); SFP_TX_DIS : out std_logic_vector(1 downto 0) := (others => '0'); - SERDES_TX : out std_logic_vector(1 downto 0); - SERDES_RX : in std_logic_vector(1 downto 0); +-- SERDES_TX : out std_logic_vector(1 downto 0); +-- SERDES_RX : in std_logic_vector(1 downto 0); --Serdes switch PCSSW_ENSMB : out std_logic; @@ -220,10 +220,10 @@ begin TX_DLM_WORD => open, --SFP Connection - SD_RXD_P_IN => SERDES_RX(0), - SD_RXD_N_IN => SERDES_RX(1), - SD_TXD_P_OUT => SERDES_TX(0), - SD_TXD_N_OUT => SERDES_TX(1), +-- SD_RXD_P_IN => SERDES_RX(0), +-- SD_RXD_N_IN => SERDES_RX(1), +-- SD_TXD_P_OUT => SERDES_TX(0), +-- SD_TXD_N_OUT => SERDES_TX(1), SD_REFCLK_P_IN => '0', SD_REFCLK_N_IN => '0', SD_PRSNT_N_IN => SFP_MOD0(1),