From: palka Date: Fri, 18 Jan 2008 10:21:47 +0000 (+0000) Subject: for new protocol between cts and vulom X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=fa8426d099c91117bee7397366e6a0390a70ebca;p=trbv2.git for new protocol between cts and vulom --- diff --git a/GP_Addon/gp_add_on.ucf b/GP_Addon/gp_add_on.ucf index 9228aac..9bb05d7 100644 --- a/GP_Addon/gp_add_on.ucf +++ b/GP_Addon/gp_add_on.ucf @@ -32,11 +32,14 @@ NET ADO_TTL<29> LOC ="M11"| IOSTANDARD = "LVTTL"; NET ADO_TTL<30> LOC ="N11"| IOSTANDARD = "LVTTL"; NET ADO_TTL<31> LOC ="N12"| IOSTANDARD = "LVTTL"; - NET ADO_TTL<32> LOC ="P12"| IOSTANDARD = "LVTTL"; - NET ADO_TTL<33> LOC ="R12"| IOSTANDARD = "LVTTL"; - NET ADO_TTL<34> LOC ="R13"| IOSTANDARD = "LVTTL"; - NET ADO_TTL_OUT LOC ="R14"| IOSTANDARD = "LVTTL"; -# NET ADO_TTL<35> LOC ="R14"| IOSTANDARD = "LVTTL"; + NET ADO_TTL_OUT<0> LOC ="P12"| IOSTANDARD = "LVTTL"; + NET ADO_TTL_OUT<1> LOC ="R12"| IOSTANDARD = "LVTTL"; + NET ADO_TTL_OUT<2> LOC ="R13"| IOSTANDARD = "LVTTL"; + NET ADO_TTL_OUT<3> LOC ="R14"| IOSTANDARD = "LVTTL"; +# NET ADO_TTL<32> LOC ="P12"| IOSTANDARD = "LVTTL"; +# NET ADO_TTL<33> LOC ="R12"| IOSTANDARD = "LVTTL"; +# NET ADO_TTL<34> LOC ="R13"| IOSTANDARD = "LVTTL"; +# NET ADO_TTL<35> LOC ="R14"| IOSTANDARD = "LVTTL"; # NET ADO_TTL<36> LOC ="T12"| IOSTANDARD = "LVTTL"; # NET ADO_TTL<37> LOC ="T13"| IOSTANDARD = "LVTTL"; # NET ADO_TTL<38> LOC ="T14"| IOSTANDARD = "LVTTL"; diff --git a/GP_Addon/gp_add_on.vhd b/GP_Addon/gp_add_on.vhd index 1a19b87..d2b9b18 100644 --- a/GP_Addon/gp_add_on.vhd +++ b/GP_Addon/gp_add_on.vhd @@ -13,7 +13,7 @@ entity gp_add_on is -- -- # NET +<3> LOC = E8; -- ADDON_RESET :in std_logic; ADO_TTL : inout std_logic_vector(34 downto 0); - ADO_TTL_OUT : out std_logic; + ADO_TTL_OUT : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------------------ -- -- LVL1 -- ------------------------------------------------------------------------------ @@ -195,5 +195,9 @@ begin -- gp_add_on -- ADO_TTL(3) <= DIFF_IN(3); -- ADO_TTL(15 downto 12) <= DIFF_IN(3 downto 0); busy <= ADO_TTL(4); - ADO_TTL_OUT <= busy;--ADO_TTL(4); + ADO_TTL_OUT(0) <= '0';--busy;--ADO_TTL(4); + + ADO_TTL_OUT(1) <= ADO_TTL(6); --RETRANSMIT + ADO_TTL_OUT(2) <= ADO_TTL(5); --ACK + ADO_TTL_OUT(3) <= '0';--busy;--ADO_TTL(4); end gp_add_on;