From: hadaq Date: Fri, 14 Dec 2012 13:41:57 +0000 (+0000) Subject: *** empty log message *** X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=fb6f8146849110bea92d99140f13135d35b07a71;p=trb3.git *** empty log message *** --- diff --git a/base/trb3_components.vhd b/base/trb3_components.vhd index 0024a68..158ac13 100644 --- a/base/trb3_components.vhd +++ b/base/trb3_components.vhd @@ -88,7 +88,6 @@ package trb3_components is LHB_DATA_OUT : out std_logic_vector(31 downto 0); LHB_DATAREADY_OUT : out std_logic; LHB_UNKNOWN_ADDR_OUT : out std_logic; - SLOW_CONTROL_REG_OUT : out std_logic_vector(32*2**STATUS_REG_NR-1 downto 0); LOGIC_ANALYSER_OUT : out std_logic_vector(15 downto 0); CONTROL_REG_IN : in std_logic_vector(32*2**CONTROL_REG_NR-1 downto 0)); end component; @@ -237,7 +236,6 @@ package trb3_components is READOUT_BUSY_OUT : out std_logic; READ_EN_OUT : out std_logic_vector(CHANNEL_NUMBER-1 downto 0); TRIGGER_WIN_END_OUT : out std_logic; - SLOW_CONTROL_REG_OUT : out std_logic_vector(32*2**STATUS_REG_NR-1 downto 0); STATUS_REGISTERS_BUS_OUT : out std_logic_vector_array_32(0 to 23); READOUT_DEBUG : out std_logic_vector(31 downto 0)); end component;