From 005164bffbc32bc7a41a4471b6db1616f097d32e Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Thu, 23 Aug 2018 16:37:24 +0200 Subject: [PATCH] add CDT register for trigger type --- releases/tdc_v2.3/TriggerHandler.vhd | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) diff --git a/releases/tdc_v2.3/TriggerHandler.vhd b/releases/tdc_v2.3/TriggerHandler.vhd index 84f23c5..e125f1b 100644 --- a/releases/tdc_v2.3/TriggerHandler.vhd +++ b/releases/tdc_v2.3/TriggerHandler.vhd @@ -68,6 +68,7 @@ architecture behavioral of TriggerHandler is signal valid_timing_200 : std_logic; signal valid_notiming_200 : std_logic; signal invalid_200 : std_logic; + signal trg_type_200 : std_logic_vector(3 downto 0); signal valid_trigger_flag : std_logic := '0'; -- trigger window signals type TrgWinCounter_FSM is (IDLE, COUNT, COUNT_CALIBRATION, VALIDATE_TRIGGER, WIN_END, @@ -185,6 +186,8 @@ trg_pulse_tdc(0) <= valid_timing_200; RESET_B_IN => RESET_TDC, PULSE_B_OUT => valid_notiming_200); + trg_type_200 <= TRG_TYPE_IN when rising_edge(CLK_TDC); + ------------------------------------------------------------------------------- -- Trigger Window State Machine ------------------------------------------------------------------------------- @@ -208,7 +211,7 @@ trg_pulse_tdc(0) <= valid_timing_200; DEBUG_OUT(31 downto 24) <= (others => '0'); FSM_TRIGGER_WINDOW_COMBINATIONAL : process (STATE_TW_CURRENT, trg_in_3r, TRG_WIN_EN_IN, - valid_notiming_200, TRG_TYPE_IN, trg_win_cnt, valid_timing_200, + valid_notiming_200, trg_type_200, trg_win_cnt, valid_timing_200, TRG_WIN_POST_IN, valid_trigger_flag, trg_release_200, invalid_200) is begin -- Default values @@ -227,7 +230,7 @@ trg_pulse_tdc(0) <= valid_timing_200; STATE_TW_NEXT <= VALIDATE_TRIGGER; end if; elsif valid_notiming_200 = '1' then - if TRG_TYPE_IN = x"D" then + if trg_type_200 = x"D" then STATE_TW_NEXT <= COUNT_CALIBRATION; trg_win_cnt_f <= x"005"; else -- 2.43.0