From 01a2b07a952387505678133d3b563f1cdd5b2930 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Thu, 4 Oct 2012 12:11:24 +0000 Subject: [PATCH] *** empty log message *** --- cts/trb3_central.vhd | 11 ++++++----- cts/trb3_central_constraints.lpf | 1 + 2 files changed, 7 insertions(+), 5 deletions(-) diff --git a/cts/trb3_central.vhd b/cts/trb3_central.vhd index 6348b08..2d57ec0 100644 --- a/cts/trb3_central.vhd +++ b/cts/trb3_central.vhd @@ -312,7 +312,7 @@ architecture trb3_central_arch of trb3_central is signal cts_rdo_additional_data : std_logic_vector(31 downto 0); signal cts_rdo_additional_write : std_logic := '0'; - signal cts_rdo_additional_finished : std_logic := '1'; + signal cts_rdo_additional_finished : std_logic := '0'; signal cts_trg_send : std_logic; signal cts_trg_type : std_logic_vector(3 downto 0); @@ -408,7 +408,7 @@ begin TRIGGER_INPUT_COUNT => 4, TRIGGER_COIN_COUNT => 4, TRIGGER_PULSER_COUNT => 4, - TRIGGER_RAND_PULSER => 2 + TRIGGER_RAND_PULSER => 1 ) port map ( CLK => clk_100_i, @@ -458,7 +458,7 @@ begin FEE_DATA_FINISHED_OUT => cts_rdo_finished ); - cts_rdo_trg_status_bits <= cts_rdo_trg_status_bits_cts OR cts_rdo_trg_status_bits_additional; +-- cts_rdo_trg_status_bits <= cts_rdo_trg_status_bits_cts OR cts_rdo_trg_status_bits_additional; --------------------------------------------------------------------------- -- Reset Generation @@ -711,15 +711,16 @@ THE_MEDIA_ONBOARD : trb_net16_med_ecp3_sfp_4_onboard RDO_VALID_NOTIMING_TRG_OUT => cts_rdo_valid_notiming_trg, RDO_INVALID_TRG_OUT => cts_rdo_invalid_trg, --Data out - RDO_TRG_STATUSBITS_IN => cts_rdo_trg_status_bits, + RDO_TRG_STATUSBITS_IN => cts_rdo_trg_status_bits_cts, RDO_DATA_IN => cts_rdo_data, RDO_DATA_WRITE_IN => cts_rdo_write, RDO_DATA_FINISHED_IN => cts_rdo_finished, + RDO_ADDITIONAL_STATUSBITS_IN => cts_rdo_trg_status_bits_additional, RDO_ADDITIONAL_DATA => cts_rdo_additional_data, RDO_ADDITIONAL_WRITE => cts_rdo_additional_write, RDO_ADDITIONAL_FINISHED => cts_rdo_additional_finished, - + COMMON_STAT_REGS => common_stat_regs, --open, COMMON_CTRL_REGS => common_ctrl_regs, --open, ONEWIRE => TEMPSENS, diff --git a/cts/trb3_central_constraints.lpf b/cts/trb3_central_constraints.lpf index 7276d94..45b84af 100644 --- a/cts/trb3_central_constraints.lpf +++ b/cts/trb3_central_constraints.lpf @@ -32,6 +32,7 @@ LOCATE COMP "THE_MEDIA_ONBOARD/gen_serdes_125_THE_SERDES/PCSD_INST" SITE "PCSC MULTICYCLE TO CELL "THE_RESET_HANDLER/final_reset_*" 30 ns; MULTICYCLE TO CELL "THE_HUB/THE_HUB/local_network_reset*" 30 ns; + REGION "MEDIA_UPLINK" "R98C95" 17 27; LOCATE UGROUP "THE_MEDIA_UPLINK/media_interface_group" REGION "MEDIA_UPLINK" ; -- 2.43.0