From 02c58ecd5f85989446583d10052374b4345a4070 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Mon, 8 Aug 2022 14:34:36 +0200 Subject: [PATCH] update CTS config files --- cts/config_32TDC.vhd | 3 ++- cts/config_8SFP_KEL.vhd | 3 ++- cts/config_8SFP_RJAdapter.vhd | 1 + cts/config_8TDC_R3B.vhd | 3 ++- cts/config_backplane_KEL.vhd | 3 ++- cts/config_simple.vhd | 1 + 6 files changed, 10 insertions(+), 4 deletions(-) diff --git a/cts/config_32TDC.vhd b/cts/config_32TDC.vhd index 8f44d97..127a80d 100644 --- a/cts/config_32TDC.vhd +++ b/cts/config_32TDC.vhd @@ -76,7 +76,7 @@ package config is -- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM; constant ETM_ID : std_logic_vector(7 downto 0); @@ -205,6 +205,7 @@ function generateIncludedFeatures return std_logic_vector is t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1)); t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1)); + t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1)); t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1)); t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1)); diff --git a/cts/config_8SFP_KEL.vhd b/cts/config_8SFP_KEL.vhd index 3c23914..8434886 100644 --- a/cts/config_8SFP_KEL.vhd +++ b/cts/config_8SFP_KEL.vhd @@ -76,7 +76,7 @@ package config is -- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM; constant ETM_ID : std_logic_vector(7 downto 0); @@ -205,6 +205,7 @@ function generateIncludedFeatures return std_logic_vector is t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1)); t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1)); + t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1)); t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1)); t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1)); diff --git a/cts/config_8SFP_RJAdapter.vhd b/cts/config_8SFP_RJAdapter.vhd index aef2dfa..afadb53 100644 --- a/cts/config_8SFP_RJAdapter.vhd +++ b/cts/config_8SFP_RJAdapter.vhd @@ -205,6 +205,7 @@ function generateIncludedFeatures return std_logic_vector is t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1)); t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1)); + t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1)); t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1)); t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1)); diff --git a/cts/config_8TDC_R3B.vhd b/cts/config_8TDC_R3B.vhd index 730d8eb..f802ccb 100644 --- a/cts/config_8TDC_R3B.vhd +++ b/cts/config_8TDC_R3B.vhd @@ -76,7 +76,7 @@ package config is -- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO; --Which external trigger module (ETM) to use? constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES; - type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B); + type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON); constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_R3B; constant ETM_ID : std_logic_vector(7 downto 0); @@ -205,6 +205,7 @@ function generateIncludedFeatures return std_logic_vector is t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1)); t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1)); + t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1)); t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1)); t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1)); diff --git a/cts/config_backplane_KEL.vhd b/cts/config_backplane_KEL.vhd index 665633f..e19420f 100644 --- a/cts/config_backplane_KEL.vhd +++ b/cts/config_backplane_KEL.vhd @@ -144,7 +144,7 @@ package config is ------------------------------------------------------------------------------ constant cts_rdo_additional_ports : integer := INCLUDE_TDC + INCLUDE_TIMESTAMP_GENERATOR + INCLUDE_ETM; --for TDC - constant HW_INFO_BASE : unsigned(31 downto 0) := x"9500A000"; + constant HW_INFO_BASE : unsigned(31 downto 0) := x"9500B000"; constant CLOCK_FREQUENCY_ARR : intlist_t := (100,120, others => 0); constant MEDIA_FREQUENCY_ARR : intlist_t := (200,240, others => 0); @@ -202,6 +202,7 @@ function generateIncludedFeatures return std_logic_vector is t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1)); t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1)); + t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1)); t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1)); t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1)); diff --git a/cts/config_simple.vhd b/cts/config_simple.vhd index 796b969..40f69b0 100644 --- a/cts/config_simple.vhd +++ b/cts/config_simple.vhd @@ -207,6 +207,7 @@ function generateIncludedFeatures return std_logic_vector is t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1)); t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1)); + t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1)); t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1)); t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1)); -- 2.43.0