From 02e66ca7b9567903b7521b509f4a89f25d05fef3 Mon Sep 17 00:00:00 2001 From: Jan Michel Date: Tue, 12 Mar 2024 11:09:36 +0100 Subject: [PATCH] commit old swap of output ports --- template/trb3sc_basic.vhd | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/template/trb3sc_basic.vhd b/template/trb3sc_basic.vhd index 0de424a..0ea73e3 100644 --- a/template/trb3sc_basic.vhd +++ b/template/trb3sc_basic.vhd @@ -147,10 +147,10 @@ architecture trb3sc_arch of trb3sc_basic is signal sfp_los_i, sfp_txdis_i, sfp_prsnt_i : std_logic; - type a_t is array(1 to 16) of std_logic_vector(6000 downto 0); - signal c : a_t; - attribute syn_keep of c : signal is true; - attribute syn_preserve of c : signal is true; + --type a_t is array(1 to 16) of std_logic_vector(6000 downto 0); + --signal c : a_t; + --attribute syn_keep of c : signal is true; + --attribute syn_preserve of c : signal is true; attribute syn_keep of GSR_N : signal is true; attribute syn_preserve of GSR_N : signal is true; @@ -364,7 +364,7 @@ THE_ENDPOINT : entity work.trb_net16_endpoint_hades_full_handler_record --Trigger & Monitor MONITOR_INPUTS => INP,--KEL(32 downto 1),--(others => '0'), TRIG_GEN_INPUTS => INP,--KEL(32 downto 1),--(others => '0'), - TRIG_GEN_OUTPUTS => X(4 downto 1),--open, + TRIG_GEN_OUTPUTS => X(8 downto 5),--open, --SED SED_ERROR_OUT => sed_error_i, --Slowcontrol -- 2.43.0