From 033b480957299d0d31cdb6094dc3e48010600a5a Mon Sep 17 00:00:00 2001 From: Benedikt Gutsche Date: Tue, 8 Aug 2023 12:44:10 +0200 Subject: [PATCH] added frame states --- mimosis/code/MimosisInput.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/mimosis/code/MimosisInput.vhd b/mimosis/code/MimosisInput.vhd index 63b68b7..5935f82 100644 --- a/mimosis/code/MimosisInput.vhd +++ b/mimosis/code/MimosisInput.vhd @@ -59,7 +59,7 @@ architecture arch of MimosisInput is signal count_oof : unsigned(31 downto 0); signal pulse_stats : std_logic_vector(31 downto 0); signal buffer_blocked : std_logic; - type frame_state_t is (IDLE,HDR1,WRITING); + type frame_state_t is (IDLE,HDR1,HDR2,HDR3,WRITING); signal frame_state : frame_state_t; -- 2.43.0