From 047b3adb67fe96c3895ffef73d204f7e5c7b42a4 Mon Sep 17 00:00:00 2001 From: Andreas Neiser Date: Wed, 18 Feb 2015 10:43:31 +0100 Subject: [PATCH] use restart --- ADC/sim/tb_adcprocessor.vhd | 6 +++++- 1 file changed, 5 insertions(+), 1 deletion(-) diff --git a/ADC/sim/tb_adcprocessor.vhd b/ADC/sim/tb_adcprocessor.vhd index 62c0952..e1ac90c 100644 --- a/ADC/sim/tb_adcprocessor.vhd +++ b/ADC/sim/tb_adcprocessor.vhd @@ -72,12 +72,16 @@ signal psa_data : std_logic_vector(8 downto 0) := (others => '0'); signal psa_write : std_logic := '0'; signal psa_addr : std_logic_vector(7 downto 0) := (others => '0'); +signal restart : std_logic := '0'; + begin clock100 <= not clock100 after 5 ns; clock200 <= not clock200 after 2.5 ns; +restart <= '1', '0' after 200 ns; + -- -- config.buffer_depth <= to_unsigned(100 ,11); @@ -192,7 +196,7 @@ THE_ADC : adc_ad9219 ) port map(CLK => clock100, CLK_ADCRAW => clock200, - RESTART_IN => '0', + RESTART_IN => restart, ADCCLK_OUT => open, ADC_DATA => (others => '0'), ADC_DCO => (others => '0'), -- 2.43.0