From 048c17225cce2130b85f27487350877ca050b2a5 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Mon, 24 Sep 2012 11:06:50 +0000 Subject: [PATCH] *** empty log message *** --- wasa/panda_dirc_wasa.vhd | 52 +- wasa/trb3_periph_padiwa.vhd | 4 +- wasa/trb3_periph_wasa_constraints.lpf | 21232 ------------------------ 3 files changed, 51 insertions(+), 21237 deletions(-) delete mode 100644 wasa/trb3_periph_wasa_constraints.lpf diff --git a/wasa/panda_dirc_wasa.vhd b/wasa/panda_dirc_wasa.vhd index c431f7c..e4697d0 100644 --- a/wasa/panda_dirc_wasa.vhd +++ b/wasa/panda_dirc_wasa.vhd @@ -202,6 +202,17 @@ signal leds : std_logic_vector(3 downto 0) := (others => '0'); signal last_leds: std_logic_vector(3 downto 0) := (others => '0'); signal onewire_monitor : std_logic; signal onewire_reset : std_logic; +signal inp_or : std_logic; +signal inp_long_or : std_logic; +signal inp_long_reg : std_logic; +signal last_inp_long_reg : std_logic; + +signal inp_stretch : std_logic_vector(15 downto 0); +signal inp_stretched : std_logic_vector(15 downto 0); +signal inp_hold : std_logic_vector(15 downto 0); +signal inp_gated : std_logic_vector(15 downto 0); +signal inp_hold_reg: std_logic_vector(15 downto 0); +signal last_inp_hold_reg: std_logic_vector(15 downto 0); begin @@ -394,6 +405,7 @@ THE_IO_REG_READ : process begin when x"2" => spi_reg20_i <= x"00" & "000" & led_status(4) & leds; when x"3" => spi_reg20_i <= x"00" & "000" & std_logic_vector(to_unsigned(inp_select,5)); when x"4" => spi_reg20_i <= inp_invert; + when x"5" => spi_reg20_i <= inp_stretch; when others => null; end case; else @@ -414,6 +426,7 @@ THE_IO_REG_WRITE : process begin when x"2" => led_status <= spi_data_i(4 downto 0); when x"3" => inp_select <= to_integer(unsigned(spi_data_i(4 downto 0))); when x"4" => inp_invert <= spi_data_i; + when x"5" => inp_stretch <= spi_data_i; when others => null; end case; end if; @@ -438,7 +451,9 @@ end process; --------------------------------------------------------------------------- -- Rest of the I/O --------------------------------------------------------------------------- -CON <= (INP xor inp_invert) and not input_enable; + +inp_gated <= (INP xor inp_invert) and not input_enable; +CON <= inp_gated or (inp_stretched and inp_stretch); SPARE_LINE(0) <= '0'; --clk_26; SPARE_LINE(1) <= '0'; --clk_i; @@ -446,15 +461,46 @@ SPARE_LINE(2) <= '0'; --timer(18); SPARE_LINE(3) <= '0'; -SPARE_OUTPUT : process(INP, inp_select, input_enable) + +-- process(inp_gated,clk_i); +-- begin +-- if inp_gated(i) then +-- inp_hold(i) <= inp_gated(i); +-- elsif rising_edge(clk_i) then +-- inp_hold(i) <= inp_hold(i) and not inp_hold_reg(i); +-- end if; +-- end process; +-- + +inp_hold <= (inp_gated or inp_hold) and not inp_hold_reg; +inp_hold_reg <= inp_hold when rising_edge(clk_i); +last_inp_hold_reg <= inp_hold_reg when rising_edge(clk_i); +inp_stretched <= inp_hold_reg or last_inp_hold_reg or inp_hold; + + + + + +SPARE_OUTPUT : process(INP, inp_select, inp_or, inp_long_or, inp_long_reg, last_inp_long_reg) begin if inp_select < 16 then SPARE_LVDS <= INP(inp_select+1); + elsif inp_select < 24 then + SPARE_LVDS <= inp_or; else - SPARE_LVDS <= or_all(INP and not input_enable); + SPARE_LVDS <= inp_long_reg or last_inp_long_reg or inp_long_or ; end if; end process; +inp_or <= or_all((INP xor inp_invert) and not input_enable); + +inp_long_or <= (inp_or or inp_long_or) and not inp_long_reg; + +inp_long_reg <= inp_long_or when rising_edge(clk_i); +last_inp_long_reg <= inp_long_reg when rising_edge(clk_i); +-- ll_inp_long_reg <= last_inp_long_reg when rising_edge(clk_i); + + -- TEST_LINE(0) <= '0'; -- TEST_LINE(15 downto 1) <= (others => '0'); diff --git a/wasa/trb3_periph_padiwa.vhd b/wasa/trb3_periph_padiwa.vhd index 6127fbb..a313811 100644 --- a/wasa/trb3_periph_padiwa.vhd +++ b/wasa/trb3_periph_padiwa.vhd @@ -621,7 +621,7 @@ padiwa_sdi <= or_all(IN_SDI and not padiwa_cs(3 downto 0)); ------------------------------------------------------------------------------- THE_TDC : TDC generic map ( - CHANNEL_NUMBER => 17, -- Number of TDC channels + CHANNEL_NUMBER => 65, -- Number of TDC channels STATUS_REG_NR => REGIO_NUM_STAT_REGS, CONTROL_REG_NR => REGIO_NUM_CTRL_REGS) port map ( @@ -629,7 +629,7 @@ padiwa_sdi <= or_all(IN_SDI and not padiwa_cs(3 downto 0)); CLK_TDC => CLK_PCLK_LEFT, -- Clock used for the time measurement CLK_READOUT => clk_100_i, -- Clock for the readout REFERENCE_TIME => timing_trg_received_i, -- Reference time input - HIT_IN => hit_in_i(15 downto 0), -- Channel start signals + HIT_IN => hit_in_i(63 downto 0), -- Channel start signals TRG_WIN_PRE => ctrl_reg(42 downto 32), -- Pre-Trigger window width TRG_WIN_POST => ctrl_reg(58 downto 48), -- Post-Trigger window width -- diff --git a/wasa/trb3_periph_wasa_constraints.lpf b/wasa/trb3_periph_wasa_constraints.lpf deleted file mode 100644 index 23dfc05..0000000 --- a/wasa/trb3_periph_wasa_constraints.lpf +++ /dev/null @@ -1,21232 +0,0 @@ - -BLOCK RESETPATHS ; -BLOCK ASYNCPATHS ; -BLOCK RD_DURING_WR_PATHS ; - -################################################################# -# Basic Settings -################################################################# - - SYSCONFIG MCCLK_FREQ = 20; - - FREQUENCY PORT CLK_PCLK_RIGHT 200 MHz; - FREQUENCY PORT CLK_PCLK_LEFT 200 MHz; - FREQUENCY PORT CLK_GPLL_RIGHT 200 MHz; - FREQUENCY PORT CLK_GPLL_LEFT 200 MHz; - FREQUENCY PORT CLK_EXTERNAL 100 MHz; - -################################################################# -# Reset Nets -################################################################# -GSR_NET NET "GSR_N"; - -################################################################# -# Locate Serdes and media interfaces -################################################################# -LOCATE COMP "THE_MEDIA_UPLINK/gen_serdes_0_200_ctc_THE_SERDES/PCSD_INST" SITE "PCSA" ; - -REGION "MEDIA_UPLINK" "R105C40D" 10 20; -#REGION "MEDIA_UPLINK" "R82C110D" 33 10; -REGION "REGION_SPI" "R11C164D" 10 18 DEVSIZE; -#REGION "REGION_IOBUF" "R10C43D" 88 86 DEVSIZE; - -LOCATE UGROUP "THE_SPI_MASTER/SPI_group" REGION "REGION_SPI" ; -LOCATE UGROUP "THE_SPI_MEMORY/SPI_group" REGION "REGION_SPI" ; - -LOCATE UGROUP "THE_MEDIA_UPLINK/media_interface_group" REGION "MEDIA_UPLINK" ; - -MULTICYCLE TO CELL "THE_MEDIA_DOWNLINK/SCI_DATA_OUT*" 50 ns; -MULTICYCLE TO CELL "THE_MEDIA_UPLINK/SCI_DATA_OUT*" 50 ns; - -################################################################# -# TDC Constraints -################################################################# -############################################################################## -## REGION DECLERATION ## -############################################################################## -REGION "Region_E&F_8" "R2C6D" 22 60 DEVSIZE; -REGION "Region_E&F_9" "R24C6D" 10 60 DEVSIZE; -REGION "Region_E&F_10" "R35C6D" 17 60 DEVSIZE; -REGION "Region_E&F_11" "R53C6D" 17 60 DEVSIZE; -REGION "Region_E&F_12" "R71C6D" 17 60 DEVSIZE; -REGION "Region_E&F_13" "R89C6D" 16 60 DEVSIZE; -REGION "Region_E&F_14" "R105C60D" 5 60 DEVSIZE; - -REGION "Region_E&F_1" "R6C120D" 15 47DEVSIZE; -REGION "Region_E&F_2" "R24C120D" 5 60 DEVSIZE; -REGION "Region_E&F_3" "R38C120D" 10 60 DEVSIZE; -REGION "Region_E&F_4" "R56C120D" 10 60 DEVSIZE; -REGION "Region_E&F_5" "R74C120D" 10 60 DEVSIZE; -REGION "Region_E&F_6" "R92C120D" 10 60 DEVSIZE; -REGION "Region_E&F_7" "R105C120D" 5 60 DEVSIZE; - - -############################################################################## -## REFERENCE CHANNEL PLACEMENT ## -############################################################################## -UGROUP "Ref_Ch" BBOX 1 51 - BLKNAME THE_TDC/The_Reference_Time/FC; -LOCATE UGROUP "Ref_Ch" SITE "R8C125D" ; -UGROUP "hit_ref_ch" - BLKNAME THE_TDC/The_Reference_Time/hit_buf_RNO; -LOCATE UGROUP "hit_ref_ch" SITE "R9C127D" ; - -############################################################################## -## DELAY LINE and HIT BUFFER PLACEMENTS ## -############################################################################## -UGROUP "FC_1" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_1_Channels/FC; -LOCATE UGROUP "FC_1" SITE "R10C125D" ; -UGROUP "hit_1" - BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_1" SITE "R11C127D" ; -# -UGROUP "FC_2" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_2_Channels/FC; -LOCATE UGROUP "FC_2" SITE "R21C125D" ; -UGROUP "hit_2" - BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_2" SITE "R22C127D" ; -# -UGROUP "FC_3" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_3_Channels/FC; -LOCATE UGROUP "FC_3" SITE "R23C125D" ; -UGROUP "hit_3" - BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_3" SITE "R24C127D" ; -# -UGROUP "FC_4" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_4_Channels/FC; -LOCATE UGROUP "FC_4" SITE "R30C125D" ; -UGROUP "hit_4" - BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_4" SITE "R31C127D" ; -# -UGROUP "FC_5" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_5_Channels/FC; -LOCATE UGROUP "FC_5" SITE "R32C125D" ; -UGROUP "hit_5" - BLKNAME THE_TDC/GEN_Channels_5_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_5" SITE "R33C127D" ; -# -UGROUP "FC_6" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_6_Channels/FC; -LOCATE UGROUP "FC_6" SITE "R35C125D" ; -UGROUP "hit_6" - BLKNAME THE_TDC/GEN_Channels_6_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_6" SITE "R36C127D" ; -# -UGROUP "FC_7" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_7_Channels/FC; -LOCATE UGROUP "FC_7" SITE "R37C125D" ; -UGROUP "hit_7" - BLKNAME THE_TDC/GEN_Channels_7_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_7" SITE "R38C127D" ; -# -UGROUP "FC_8" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_8_Channels/FC; -LOCATE UGROUP "FC_8" SITE "R48C125D" ; -UGROUP "hit_8" - BLKNAME THE_TDC/GEN_Channels_8_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_8" SITE "R49C127D" ; -# -UGROUP "FC_9" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_9_Channels/FC; -LOCATE UGROUP "FC_9" SITE "R50C125D" ; -UGROUP "hit_9" - BLKNAME THE_TDC/GEN_Channels_9_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_9" SITE "R51C127D" ; -# -UGROUP "FC_10" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_10_Channels/FC; -LOCATE UGROUP "FC_10" SITE "R53C125D" ; -UGROUP "hit_10" - BLKNAME THE_TDC/GEN_Channels_10_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_10" SITE "R54C127D" ; -# -UGROUP "FC_11" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_11_Channels/FC; -LOCATE UGROUP "FC_11" SITE "R55C125D" ; -UGROUP "hit_11" - BLKNAME THE_TDC/GEN_Channels_11_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_11" SITE "R56C127D" ; -# -UGROUP "FC_12" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_12_Channels/FC; -LOCATE UGROUP "FC_12" SITE "R66C125D" ; -UGROUP "hit_12" - BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_12" SITE "R67C127D" ; -# -UGROUP "FC_13" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_13_Channels/FC; -LOCATE UGROUP "FC_13" SITE "R68C125D" ; -UGROUP "hit_13" - BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_13" SITE "R69C127D" ; -# -UGROUP "FC_14" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_14_Channels/FC; -LOCATE UGROUP "FC_14" SITE "R71C125D" ; -UGROUP "hit_14" - BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_14" SITE "R72C127D" ; -# -UGROUP "FC_15" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_15_Channels/FC; -LOCATE UGROUP "FC_15" SITE "R73C125D" ; -UGROUP "hit_15" - BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_15" SITE "R74C127D" ; -# -UGROUP "FC_16" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_16_Channels/FC; -LOCATE UGROUP "FC_16" SITE "R84C125D" ; -UGROUP "hit_16" - BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_16" SITE "R85C127D" ; -# -UGROUP "FC_17" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_17_Channels/FC; -LOCATE UGROUP "FC_17" SITE "R86C125D" ; -UGROUP "hit_17" - BLKNAME THE_TDC/GEN_Channels_17_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_17" SITE "R87C127D" ; -# -UGROUP "FC_18" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_18_Channels/FC; -LOCATE UGROUP "FC_18" SITE "R89C125D" ; -UGROUP "hit_18" - BLKNAME THE_TDC/GEN_Channels_18_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_18" SITE "R90C127D" ; -# -UGROUP "FC_19" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_19_Channels/FC; -LOCATE UGROUP "FC_19" SITE "R91C125D" ; -UGROUP "hit_19" - BLKNAME THE_TDC/GEN_Channels_19_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_19" SITE "R92C127D" ; -# -UGROUP "FC_20" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_20_Channels/FC; -LOCATE UGROUP "FC_20" SITE "R102C125D" ; -UGROUP "hit_20" - BLKNAME THE_TDC/GEN_Channels_20_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_20" SITE "R103C127D" ; -# -UGROUP "FC_21" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_21_Channels/FC; -LOCATE UGROUP "FC_21" SITE "R104C125D" ; -UGROUP "hit_21" - BLKNAME THE_TDC/GEN_Channels_21_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_21" SITE "R105C127D" ; -# -UGROUP "FC_22" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_22_Channels/FC; -LOCATE UGROUP "FC_22" SITE "R111C125D" ; -UGROUP "hit_22" - BLKNAME THE_TDC/GEN_Channels_22_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_22" SITE "R112C127D" ; -# -UGROUP "FC_23" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_23_Channels/FC; -LOCATE UGROUP "FC_23" SITE "R113C125D" ; -UGROUP "hit_23" - BLKNAME THE_TDC/GEN_Channels_23_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_23" SITE "R114C127D" ; -# -# -# -UGROUP "FC_24" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_24_Channels/FC; -LOCATE UGROUP "FC_24" SITE "R8C66D" ; -UGROUP "hit_24" - BLKNAME THE_TDC/GEN_Channels_24_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_24" SITE "R9C68D" ; -# -UGROUP "FC_25" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_25_Channels/FC; -LOCATE UGROUP "FC_25" SITE "R10C66D" ; -UGROUP "hit_25" - BLKNAME THE_TDC/GEN_Channels_25_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_25" SITE "R11C68D" ; -# -UGROUP "FC_26" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_26_Channels/FC; -LOCATE UGROUP "FC_26" SITE "R21C66D" ; -UGROUP "hit_26" - BLKNAME THE_TDC/GEN_Channels_26_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_26" SITE "R22C68D" ; -# -UGROUP "FC_27" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_27_Channels/FC; -LOCATE UGROUP "FC_27" SITE "R23C66D" ; -UGROUP "hit_27" - BLKNAME THE_TDC/GEN_Channels_27_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_27" SITE "R24C68D" ; -# -UGROUP "FC_28" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_28_Channels/FC; -LOCATE UGROUP "FC_28" SITE "R30C66D" ; -UGROUP "hit_28" - BLKNAME THE_TDC/GEN_Channels_28_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_28" SITE "R31C68D" ; -# -UGROUP "FC_29" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_29_Channels/FC; -LOCATE UGROUP "FC_29" SITE "R32C66D" ; -UGROUP "hit_29" - BLKNAME THE_TDC/GEN_Channels_29_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_29" SITE "R33C68D" ; -# -UGROUP "FC_30" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_30_Channels/FC; -LOCATE UGROUP "FC_30" SITE "R35C66D" ; -UGROUP "hit_30" - BLKNAME THE_TDC/GEN_Channels_30_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_30" SITE "R36C68D" ; -# -UGROUP "FC_31" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_31_Channels/FC; -LOCATE UGROUP "FC_31" SITE "R37C66D" ; -UGROUP "hit_31" - BLKNAME THE_TDC/GEN_Channels_31_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_31" SITE "R38C68D" ; -# -UGROUP "FC_32" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_32_Channels/FC; -LOCATE UGROUP "FC_32" SITE "R48C66D" ; -UGROUP "hit_32" - BLKNAME THE_TDC/GEN_Channels_32_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_32" SITE "R49C68D" ; -# -UGROUP "FC_33" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_33_Channels/FC; -LOCATE UGROUP "FC_33" SITE "R50C66D" ; -UGROUP "hit_33" - BLKNAME THE_TDC/GEN_Channels_33_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_33" SITE "R51C68D" ; -# -UGROUP "FC_34" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_34_Channels/FC; -LOCATE UGROUP "FC_34" SITE "R53C66D" ; -UGROUP "hit_34" - BLKNAME THE_TDC/GEN_Channels_34_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_34" SITE "R54C68D" ; -# -UGROUP "FC_35" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_35_Channels/FC; -LOCATE UGROUP "FC_35" SITE "R55C66D" ; -UGROUP "hit_35" - BLKNAME THE_TDC/GEN_Channels_35_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_35" SITE "R56C68D" ; -# -UGROUP "FC_36" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_36_Channels/FC; -LOCATE UGROUP "FC_36" SITE "R66C66D" ; -UGROUP "hit_36" - BLKNAME THE_TDC/GEN_Channels_36_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_36" SITE "R67C68D" ; -# -UGROUP "FC_37" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_37_Channels/FC; -LOCATE UGROUP "FC_37" SITE "R68C66D" ; -UGROUP "hit_37" - BLKNAME THE_TDC/GEN_Channels_37_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_37" SITE "R69C68D" ; -# -UGROUP "FC_38" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_38_Channels/FC; -LOCATE UGROUP "FC_38" SITE "R71C66D" ; -UGROUP "hit_38" - BLKNAME THE_TDC/GEN_Channels_38_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_38" SITE "R72C68D" ; -# -UGROUP "FC_39" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_39_Channels/FC; -LOCATE UGROUP "FC_39" SITE "R73C66D" ; -UGROUP "hit_39" - BLKNAME THE_TDC/GEN_Channels_39_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_39" SITE "R74C68D" ; -# -UGROUP "FC_40" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_40_Channels/FC; -LOCATE UGROUP "FC_40" SITE "R84C66D" ; -UGROUP "hit_40" - BLKNAME THE_TDC/GEN_Channels_40_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_40" SITE "R85C68D" ; -# -UGROUP "FC_41" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_41_Channels/FC; -LOCATE UGROUP "FC_41" SITE "R86C66D" ; -UGROUP "hit_41" - BLKNAME THE_TDC/GEN_Channels_41_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_41" SITE "R87C68D" ; -# -UGROUP "FC_42" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_42_Channels/FC; -LOCATE UGROUP "FC_42" SITE "R89C66D" ; -UGROUP "hit_42" - BLKNAME THE_TDC/GEN_Channels_42_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_42" SITE "R90C68D" ; -# -UGROUP "FC_43" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_43_Channels/FC; -LOCATE UGROUP "FC_43" SITE "R91C66D" ; -UGROUP "hit_43" - BLKNAME THE_TDC/GEN_Channels_43_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_43" SITE "R92C68D" ; -# -UGROUP "FC_44" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_44_Channels/FC; -LOCATE UGROUP "FC_44" SITE "R102C66D" ; -UGROUP "hit_44" - BLKNAME THE_TDC/GEN_Channels_44_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_44" SITE "R103C68D" ; -# -UGROUP "FC_45" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_45_Channels/FC; -LOCATE UGROUP "FC_45" SITE "R104C66D" ; -UGROUP "hit_45" - BLKNAME THE_TDC/GEN_Channels_45_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_45" SITE "R105C68D" ; -# -UGROUP "FC_46" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_46_Channels/FC; -LOCATE UGROUP "FC_46" SITE "R111C66D" ; -UGROUP "hit_46" - BLKNAME THE_TDC/GEN_Channels_46_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_46" SITE "R112C68D" ; -# -UGROUP "FC_47" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_47_Channels/FC; -LOCATE UGROUP "FC_47" SITE "R113C66D" ; -UGROUP "hit_47" - BLKNAME THE_TDC/GEN_Channels_47_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_47" SITE "R114C68D" ; -# -# -# -UGROUP "FC_48" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_48_Channels/FC; -LOCATE UGROUP "FC_48" SITE "R4C66D" ; -UGROUP "hit_48" - BLKNAME THE_TDC/GEN_Channels_48_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_48" SITE "R5C68D" ; -# -UGROUP "FC_49" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_49_Channels/FC; -LOCATE UGROUP "FC_49" SITE "R6C66D" ; -UGROUP "hit_49" - BLKNAME THE_TDC/GEN_Channels_49_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_49" SITE "R7C68D" ; -# -UGROUP "FC_50" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_50_Channels/FC; -LOCATE UGROUP "FC_50" SITE "R17C66D" ; -UGROUP "hit_50" - BLKNAME THE_TDC/GEN_Channels_50_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_50" SITE "R18C68D" ; -# -UGROUP "FC_51" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_51_Channels/FC; -LOCATE UGROUP "FC_51" SITE "R19C66D" ; -UGROUP "hit_51" - BLKNAME THE_TDC/GEN_Channels_51_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_51" SITE "R20C68D" ; -# -UGROUP "FC_52" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_52_Channels/FC; -LOCATE UGROUP "FC_52" SITE "R26C66D" ; -UGROUP "hit_52" - BLKNAME THE_TDC/GEN_Channels_52_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_52" SITE "R27C68D" ; -# -UGROUP "FC_53" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_53_Channels/FC; -LOCATE UGROUP "FC_53" SITE "R28C66D" ; -UGROUP "hit_53" - BLKNAME THE_TDC/GEN_Channels_53_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_53" SITE "R29C68D" ; -# -UGROUP "FC_54" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_54_Channels/FC; -LOCATE UGROUP "FC_54" SITE "R39C66D" ; -UGROUP "hit_54" - BLKNAME THE_TDC/GEN_Channels_54_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_54" SITE "R40C68D" ; -# -UGROUP "FC_55" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_55_Channels/FC; -LOCATE UGROUP "FC_55" SITE "R41C66D" ; -UGROUP "hit_55" - BLKNAME THE_TDC/GEN_Channels_55_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_55" SITE "R42C68D" ; -# -UGROUP "FC_56" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_56_Channels/FC; -LOCATE UGROUP "FC_56" SITE "R44C66D" ; -UGROUP "hit_56" - BLKNAME THE_TDC/GEN_Channels_56_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_56" SITE "R45C68D" ; -# -UGROUP "FC_57" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_57_Channels/FC; -LOCATE UGROUP "FC_57" SITE "R46C66D" ; -UGROUP "hit_57" - BLKNAME THE_TDC/GEN_Channels_57_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_57" SITE "R47C68D" ; -# -UGROUP "FC_58" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_58_Channels/FC; -LOCATE UGROUP "FC_58" SITE "R57C66D" ; -UGROUP "hit_58" - BLKNAME THE_TDC/GEN_Channels_58_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_58" SITE "R58C68D" ; -# -UGROUP "FC_59" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_59_Channels/FC; -LOCATE UGROUP "FC_59" SITE "R59C66D" ; -UGROUP "hit_59" - BLKNAME THE_TDC/GEN_Channels_59_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_59" SITE "R60C68D" ; -# -UGROUP "FC_60" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_60_Channels/FC; -LOCATE UGROUP "FC_60" SITE "R62C66D" ; -UGROUP "hit_60" - BLKNAME THE_TDC/GEN_Channels_60_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_60" SITE "R63C68D" ; -# -UGROUP "FC_61" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_61_Channels/FC; -LOCATE UGROUP "FC_61" SITE "R64C66D" ; -UGROUP "hit_61" - BLKNAME THE_TDC/GEN_Channels_61_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_61" SITE "R65C68D" ; -# -UGROUP "FC_62" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_62_Channels/FC; -LOCATE UGROUP "FC_62" SITE "R75C66D" ; -UGROUP "hit_62" - BLKNAME THE_TDC/GEN_Channels_62_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_62" SITE "R76C68D" ; -# -UGROUP "FC_63" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_63_Channels/FC; -LOCATE UGROUP "FC_63" SITE "R82C66D" ; -UGROUP "hit_63" - BLKNAME THE_TDC/GEN_Channels_63_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_63" SITE "R83C68D" ; -# -UGROUP "FC_64" BBOX 1 51 - BLKNAME THE_TDC/GEN_Channels_64_Channels/FC; -LOCATE UGROUP "FC_64" SITE "R93C66D" ; -UGROUP "hit_64" - BLKNAME THE_TDC/GEN_Channels_64_Channels/hit_buf_RNO; -LOCATE UGROUP "hit_64" SITE "R94C68D" ; - - - -############################################################################## -## CHANNEL PLACEMENTS ## -############################################################################## -#UGROUP "E&F_ref" -# BLKNAME THE_TDC/The_Reference_Time/Encoder -# BLKNAME THE_TDC/The_Reference_Time/FIFO -# BLKNAME THE_TDC/The_Reference_Time/hit_detect_i -# BLKNAME THE_TDC/The_Reference_Time/hit_detect_reg -# BLKNAME THE_TDC/The_Reference_Time/result_2_reg -# BLKNAME THE_TDC/The_Reference_Time/result_reg_0 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_1 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_10 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_100 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_101 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_102 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_103 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_104 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_105 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_106 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_107 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_108 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_109 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_11 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_110 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_111 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_112 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_113 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_114 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_115 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_116 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_117 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_118 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_119 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_12 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_120 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_121 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_122 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_123 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_124 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_125 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_126 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_127 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_128 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_129 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_13 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_130 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_131 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_132 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_133 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_134 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_135 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_136 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_137 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_138 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_139 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_14 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_140 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_141 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_142 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_143 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_144 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_145 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_146 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_147 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_148 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_149 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_15 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_150 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_151 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_152 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_153 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_154 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_155 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_156 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_157 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_158 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_159 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_16 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_160 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_161 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_162 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_163 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_164 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_165 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_166 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_167 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_168 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_169 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_17 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_170 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_171 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_172 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_173 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_174 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_175 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_176 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_177 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_178 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_179 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_18 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_180 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_181 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_182 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_183 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_184 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_185 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_186 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_187 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_188 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_189 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_19 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_190 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_191 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_192 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_193 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_194 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_195 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_196 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_197 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_198 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_199 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_20 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_200 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_201 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_202 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_203 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_204 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_205 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_206 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_207 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_208 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_209 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_21 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_210 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_211 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_212 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_213 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_214 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_215 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_216 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_217 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_218 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_219 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_22 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_220 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_221 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_222 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_223 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_224 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_225 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_226 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_227 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_228 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_229 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_23 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_230 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_231 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_232 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_233 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_234 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_235 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_236 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_237 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_238 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_239 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_24 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_240 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_241 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_242 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_243 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_244 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_245 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_246 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_247 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_248 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_249 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_25 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_250 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_251 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_252 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_253 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_254 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_255 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_256 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_257 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_258 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_259 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_26 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_260 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_261 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_262 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_263 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_264 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_265 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_266 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_267 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_268 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_269 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_27 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_270 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_271 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_272 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_273 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_274 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_275 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_276 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_277 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_278 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_279 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_28 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_280 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_281 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_282 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_283 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_284 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_285 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_286 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_287 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_288 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_289 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_29 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_290 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_291 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_292 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_293 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_294 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_295 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_296 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_297 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_298 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_299 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_3 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_30 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_300 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_301 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_302 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_303 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_31 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_32 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_33 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_34 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_35 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_36 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_37 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_38 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_39 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_4 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_40 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_41 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_42 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_43 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_44 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_45 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_46 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_47 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_48 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_49 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_5 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_50 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_51 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_52 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_53 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_54 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_55 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_56 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_57 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_58 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_59 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_6 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_60 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_61 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_62 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_63 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_64 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_65 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_66 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_67 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_68 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_69 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_7 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_70 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_71 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_72 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_73 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_74 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_75 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_76 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_77 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_78 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_79 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_8 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_80 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_81 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_82 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_83 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_84 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_85 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_86 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_87 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_88 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_89 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_9 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_90 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_91 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_92 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_93 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_94 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_95 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_96 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_97 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_98 -# BLKNAME THE_TDC/The_Reference_Time/result_reg_99; -#LOCATE UGROUP "E&F_ref" REGION "Region_E&F_1" ; -#UGROUP "E&F_1" -# BLKNAME THE_TDC/GEN_Channels_1_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_1_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_99; -#LOCATE UGROUP "E&F_1" REGION "Region_E&F_1" ; -#UGROUP "E&F_2" -# BLKNAME THE_TDC/GEN_Channels_2_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_2_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_99; -#LOCATE UGROUP "E&F_2" REGION "Region_E&F_1" ; -#UGROUP "E&F_3" -# BLKNAME THE_TDC/GEN_Channels_3_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_3_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_99; -#LOCATE UGROUP "E&F_3" REGION "Region_E&F_1" ; -#UGROUP "E&F_4" -# BLKNAME THE_TDC/GEN_Channels_4_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_4_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_99; -#LOCATE UGROUP "E&F_4" REGION "Region_E&F_2" ; -#UGROUP "E&F_5" -# BLKNAME THE_TDC/GEN_Channels_5_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_5_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_5_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_5_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_99; -#LOCATE UGROUP "E&F_5" REGION "Region_E&F_2" ; -#UGROUP "E&F_6" -# BLKNAME THE_TDC/GEN_Channels_6_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_6_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_6_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_6_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_99; -#LOCATE UGROUP "E&F_6" REGION "Region_E&F_3" ; -#UGROUP "E&F_7" -# BLKNAME THE_TDC/GEN_Channels_7_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_7_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_7_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_7_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_99; -#LOCATE UGROUP "E&F_7" REGION "Region_E&F_3" ; -#UGROUP "E&F_8" -# BLKNAME THE_TDC/GEN_Channels_8_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_8_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_8_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_8_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_99; -#LOCATE UGROUP "E&F_8" REGION "Region_E&F_3" ; -#UGROUP "E&F_9" -# BLKNAME THE_TDC/GEN_Channels_9_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_9_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_9_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_9_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_99; -#LOCATE UGROUP "E&F_9" REGION "Region_E&F_3" ; -#UGROUP "E&F_10" -# BLKNAME THE_TDC/GEN_Channels_10_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_10_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_10_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_10_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_99; -#LOCATE UGROUP "E&F_10" REGION "Region_E&F_4" ; -#UGROUP "E&F_11" -# BLKNAME THE_TDC/GEN_Channels_11_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_11_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_11_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_11_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_99; -#LOCATE UGROUP "E&F_11" REGION "Region_E&F_4" ; -#UGROUP "E&F_12" -# BLKNAME THE_TDC/GEN_Channels_12_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_12_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_99; -#LOCATE UGROUP "E&F_12" REGION "Region_E&F_4" ; -#UGROUP "E&F_13" -# BLKNAME THE_TDC/GEN_Channels_13_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_13_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_99; -#LOCATE UGROUP "E&F_13" REGION "Region_E&F_4" ; -#UGROUP "E&F_14" -# BLKNAME THE_TDC/GEN_Channels_14_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_14_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_99; -#LOCATE UGROUP "E&F_14" REGION "Region_E&F_5" ; -#UGROUP "E&F_15" -# BLKNAME THE_TDC/GEN_Channels_15_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_15_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_99; -#LOCATE UGROUP "E&F_15" REGION "Region_E&F_5" ; -#UGROUP "E&F_16" -# BLKNAME THE_TDC/GEN_Channels_16_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_16_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_99; -#LOCATE UGROUP "E&F_16" REGION "Region_E&F_5" ; -#UGROUP "E&F_17" -# BLKNAME THE_TDC/GEN_Channels_17_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_17_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_17_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_17_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_99; -#LOCATE UGROUP "E&F_17" REGION "Region_E&F_5" ; -#UGROUP "E&F_18" -# BLKNAME THE_TDC/GEN_Channels_18_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_18_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_18_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_18_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_99; -#LOCATE UGROUP "E&F_18" REGION "Region_E&F_6" ; -#UGROUP "E&F_19" -# BLKNAME THE_TDC/GEN_Channels_19_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_19_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_19_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_19_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_99; -#LOCATE UGROUP "E&F_19" REGION "Region_E&F_6" ; -#UGROUP "E&F_20" -# BLKNAME THE_TDC/GEN_Channels_20_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_20_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_20_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_20_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_99; -#LOCATE UGROUP "E&F_20" REGION "Region_E&F_6" ; -#UGROUP "E&F_21" -# BLKNAME THE_TDC/GEN_Channels_21_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_21_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_21_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_21_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_99; -#LOCATE UGROUP "E&F_21" REGION "Region_E&F_6" ; -#UGROUP "E&F_22" -# BLKNAME THE_TDC/GEN_Channels_22_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_22_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_22_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_22_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_99; -#LOCATE UGROUP "E&F_22" REGION "Region_E&F_7" ; -#UGROUP "E&F_23" -# BLKNAME THE_TDC/GEN_Channels_23_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_23_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_23_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_23_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_99; -#LOCATE UGROUP "E&F_23" REGION "Region_E&F_7" ; -#UGROUP "E&F_24" -# BLKNAME THE_TDC/GEN_Channels_24_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_24_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_24_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_24_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_99; -#LOCATE UGROUP "E&F_24" REGION "Region_E&F_8" ; -#UGROUP "E&F_25" -# BLKNAME THE_TDC/GEN_Channels_25_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_25_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_25_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_25_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_99; -#LOCATE UGROUP "E&F_25" REGION "Region_E&F_8" ; -#UGROUP "E&F_26" -# BLKNAME THE_TDC/GEN_Channels_26_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_26_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_26_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_26_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_99; -#LOCATE UGROUP "E&F_26" REGION "Region_E&F_8" ; -#UGROUP "E&F_27" -# BLKNAME THE_TDC/GEN_Channels_27_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_27_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_27_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_27_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_99; -#LOCATE UGROUP "E&F_27" REGION "Region_E&F_8" ; -#UGROUP "E&F_28" -# BLKNAME THE_TDC/GEN_Channels_28_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_28_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_28_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_28_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_99; -#LOCATE UGROUP "E&F_28" REGION "Region_E&F_9" ; -#UGROUP "E&F_29" -# BLKNAME THE_TDC/GEN_Channels_29_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_29_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_29_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_29_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_99; -#LOCATE UGROUP "E&F_29" REGION "Region_E&F_9" ; -#UGROUP "E&F_30" -# BLKNAME THE_TDC/GEN_Channels_30_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_30_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_30_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_30_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_99; -#LOCATE UGROUP "E&F_30" REGION "Region_E&F_10" ; -#UGROUP "E&F_31" -# BLKNAME THE_TDC/GEN_Channels_31_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_31_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_31_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_31_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_99; -#LOCATE UGROUP "E&F_31" REGION "Region_E&F_10" ; -#UGROUP "E&F_32" -# BLKNAME THE_TDC/GEN_Channels_32_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_32_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_32_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_32_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_99; -#LOCATE UGROUP "E&F_32" REGION "Region_E&F_10" ; -#UGROUP "E&F_33" -# BLKNAME THE_TDC/GEN_Channels_33_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_33_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_33_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_33_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_99; -#LOCATE UGROUP "E&F_33" REGION "Region_E&F_10" ; -#UGROUP "E&F_34" -# BLKNAME THE_TDC/GEN_Channels_34_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_34_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_34_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_34_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_99; -#LOCATE UGROUP "E&F_34" REGION "Region_E&F_11" ; -#UGROUP "E&F_35" -# BLKNAME THE_TDC/GEN_Channels_35_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_35_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_35_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_35_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_99; -#LOCATE UGROUP "E&F_35" REGION "Region_E&F_11" ; -#UGROUP "E&F_36" -# BLKNAME THE_TDC/GEN_Channels_36_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_36_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_36_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_36_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_99; -#LOCATE UGROUP "E&F_36" REGION "Region_E&F_11" ; -#UGROUP "E&F_37" -# BLKNAME THE_TDC/GEN_Channels_37_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_37_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_37_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_37_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_99; -#LOCATE UGROUP "E&F_37" REGION "Region_E&F_11" ; -#UGROUP "E&F_38" -# BLKNAME THE_TDC/GEN_Channels_38_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_38_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_38_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_38_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_99; -#LOCATE UGROUP "E&F_38" REGION "Region_E&F_12" ; -#UGROUP "E&F_39" -# BLKNAME THE_TDC/GEN_Channels_39_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_39_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_39_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_39_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_99; -#LOCATE UGROUP "E&F_39" REGION "Region_E&F_12" ; -#UGROUP "E&F_40" -# BLKNAME THE_TDC/GEN_Channels_40_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_40_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_40_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_40_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_99; -#LOCATE UGROUP "E&F_40" REGION "Region_E&F_12" ; -#UGROUP "E&F_41" -# BLKNAME THE_TDC/GEN_Channels_41_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_41_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_41_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_41_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_99; -#LOCATE UGROUP "E&F_41" REGION "Region_E&F_12" ; -#UGROUP "E&F_42" -# BLKNAME THE_TDC/GEN_Channels_42_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_42_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_42_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_42_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_99; -#LOCATE UGROUP "E&F_42" REGION "Region_E&F_13" ; -#UGROUP "E&F_43" -# BLKNAME THE_TDC/GEN_Channels_43_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_43_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_43_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_43_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_99; -#LOCATE UGROUP "E&F_43" REGION "Region_E&F_13" ; -#UGROUP "E&F_44" -# BLKNAME THE_TDC/GEN_Channels_44_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_44_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_44_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_44_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_99; -#LOCATE UGROUP "E&F_44" REGION "Region_E&F_13" ; -#UGROUP "E&F_45" -# BLKNAME THE_TDC/GEN_Channels_45_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_45_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_45_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_45_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_99; -#LOCATE UGROUP "E&F_45" REGION "Region_E&F_13" ; -#UGROUP "E&F_46" -# BLKNAME THE_TDC/GEN_Channels_46_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_46_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_46_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_46_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_99; -#LOCATE UGROUP "E&F_46" REGION "Region_E&F_14" ; -#UGROUP "E&F_47" -# BLKNAME THE_TDC/GEN_Channels_47_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_47_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_47_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_47_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_99; -#LOCATE UGROUP "E&F_47" REGION "Region_E&F_14" ; -#UGROUP "E&F_48" -# BLKNAME THE_TDC/GEN_Channels_48_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_48_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_48_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_48_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_99; -#LOCATE UGROUP "E&F_48" REGION "Region_E&F_8" ; -#UGROUP "E&F_49" -# BLKNAME THE_TDC/GEN_Channels_49_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_49_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_49_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_49_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_99; -#LOCATE UGROUP "E&F_49" REGION "Region_E&F_8" ; -#UGROUP "E&F_50" -# BLKNAME THE_TDC/GEN_Channels_50_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_50_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_50_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_50_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_99; -#LOCATE UGROUP "E&F_50" REGION "Region_E&F_8" ; -#UGROUP "E&F_51" -# BLKNAME THE_TDC/GEN_Channels_51_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_51_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_51_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_51_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_99; -#LOCATE UGROUP "E&F_51" REGION "Region_E&F_8" ; -#UGROUP "E&F_52" -# BLKNAME THE_TDC/GEN_Channels_52_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_52_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_52_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_52_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_99; -#LOCATE UGROUP "E&F_52" REGION "Region_E&F_9" ; -#UGROUP "E&F_53" -# BLKNAME THE_TDC/GEN_Channels_53_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_53_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_53_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_53_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_99; -#LOCATE UGROUP "E&F_53" REGION "Region_E&F_9" ; -#UGROUP "E&F_54" -# BLKNAME THE_TDC/GEN_Channels_54_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_54_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_54_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_54_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_99; -#LOCATE UGROUP "E&F_54" REGION "Region_E&F_10" ; -#UGROUP "E&F_55" -# BLKNAME THE_TDC/GEN_Channels_55_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_55_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_55_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_55_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_99; -#LOCATE UGROUP "E&F_55" REGION "Region_E&F_10" ; -#UGROUP "E&F_56" -# BLKNAME THE_TDC/GEN_Channels_56_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_56_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_56_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_56_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_99; -#LOCATE UGROUP "E&F_56" REGION "Region_E&F_10" ; -#UGROUP "E&F_57" -# BLKNAME THE_TDC/GEN_Channels_57_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_57_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_57_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_57_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_99; -#LOCATE UGROUP "E&F_57" REGION "Region_E&F_10" ; -#UGROUP "E&F_58" -# BLKNAME THE_TDC/GEN_Channels_58_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_58_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_58_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_58_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_99; -#LOCATE UGROUP "E&F_58" REGION "Region_E&F_11" ; -#UGROUP "E&F_59" -# BLKNAME THE_TDC/GEN_Channels_59_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_59_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_59_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_59_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_99; -#LOCATE UGROUP "E&F_59" REGION "Region_E&F_11" ; -#UGROUP "E&F_60" -# BLKNAME THE_TDC/GEN_Channels_60_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_60_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_60_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_60_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_99; -#LOCATE UGROUP "E&F_60" REGION "Region_E&F_11" ; -#UGROUP "E&F_61" -# BLKNAME THE_TDC/GEN_Channels_61_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_61_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_61_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_61_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_99; -#LOCATE UGROUP "E&F_61" REGION "Region_E&F_11" ; -#UGROUP "E&F_62" -# BLKNAME THE_TDC/GEN_Channels_62_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_62_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_62_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_62_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_99; -#LOCATE UGROUP "E&F_62" REGION "Region_E&F_12" ; -#UGROUP "E&F_63" -# BLKNAME THE_TDC/GEN_Channels_63_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_63_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_63_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_63_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_99; -#LOCATE UGROUP "E&F_63" REGION "Region_E&F_12" ; -#UGROUP "E&F_64" -# BLKNAME THE_TDC/GEN_Channels_64_Channels/Encoder -# BLKNAME THE_TDC/GEN_Channels_64_Channels/FIFO -# BLKNAME THE_TDC/GEN_Channels_64_Channels/hit_detect_i -# BLKNAME THE_TDC/GEN_Channels_64_Channels/hit_detect_reg -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_0 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_1 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_10 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_100 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_101 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_102 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_103 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_104 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_105 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_106 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_107 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_108 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_109 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_11 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_110 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_111 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_112 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_113 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_114 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_115 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_116 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_117 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_118 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_119 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_12 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_120 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_121 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_122 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_123 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_124 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_125 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_126 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_127 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_128 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_129 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_13 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_130 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_131 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_132 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_133 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_134 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_135 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_136 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_137 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_138 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_139 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_14 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_140 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_141 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_142 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_143 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_144 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_145 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_146 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_147 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_148 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_149 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_15 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_150 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_151 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_152 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_153 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_154 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_155 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_156 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_157 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_158 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_159 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_16 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_160 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_161 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_162 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_163 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_164 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_165 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_166 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_167 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_168 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_169 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_17 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_170 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_171 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_172 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_173 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_174 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_175 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_176 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_177 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_178 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_179 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_18 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_180 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_181 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_182 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_183 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_184 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_185 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_186 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_187 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_188 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_189 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_19 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_190 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_191 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_192 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_193 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_194 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_195 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_196 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_197 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_198 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_199 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_20 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_200 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_201 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_202 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_203 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_204 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_205 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_206 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_207 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_208 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_209 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_21 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_210 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_211 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_212 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_213 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_214 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_215 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_216 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_217 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_218 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_219 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_22 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_220 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_221 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_222 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_223 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_224 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_225 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_226 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_227 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_228 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_229 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_23 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_230 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_231 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_232 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_233 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_234 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_235 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_236 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_237 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_238 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_239 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_24 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_240 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_241 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_242 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_243 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_244 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_245 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_246 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_247 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_248 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_249 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_25 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_250 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_251 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_252 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_253 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_254 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_255 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_256 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_257 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_258 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_259 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_26 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_260 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_261 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_262 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_263 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_264 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_265 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_266 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_267 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_268 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_269 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_27 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_270 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_271 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_272 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_273 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_274 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_275 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_276 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_277 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_278 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_279 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_28 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_280 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_281 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_282 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_283 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_284 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_285 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_286 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_287 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_288 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_289 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_29 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_290 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_291 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_292 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_293 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_294 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_295 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_296 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_297 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_298 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_299 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_3 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_30 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_300 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_301 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_302 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_303 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_31 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_32 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_33 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_34 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_35 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_36 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_37 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_38 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_39 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_4 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_40 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_41 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_42 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_43 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_44 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_45 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_46 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_47 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_48 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_49 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_5 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_50 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_51 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_52 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_53 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_54 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_55 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_56 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_57 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_58 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_59 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_6 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_60 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_61 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_62 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_63 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_64 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_65 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_66 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_67 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_68 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_69 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_7 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_70 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_71 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_72 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_73 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_74 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_75 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_76 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_77 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_78 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_79 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_8 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_80 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_81 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_82 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_83 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_84 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_85 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_86 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_87 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_88 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_89 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_9 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_90 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_91 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_92 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_93 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_94 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_95 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_96 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_97 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_98 -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_99; -#LOCATE UGROUP "E&F_64" REGION "Region_E&F_13" ; - - - - - - - -UGROUP "E&F_ref" BBOX 4 5 - BLKNAME THE_TDC/The_Reference_Time/hit_detect_i - BLKNAME THE_TDC/The_Reference_Time/hit_detect_reg - BLKNAME THE_TDC/The_Reference_Time/result_2_reg -# BLKNAME THE_TDC/The_Reference_Time/result_reg_* - BLKNAME THE_TDC/The_Reference_Time/FIFO - BLKNAME THE_TDC/The_Reference_Time/Encoder; -LOCATE UGROUP "E&F_ref" REGION "Region_E&F_1" ; -UGROUP "E&F_1" BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_1_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_1_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_1_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_1_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_1_Channels/Encoder; -LOCATE UGROUP "E&F_1" REGION "Region_E&F_1" ; -UGROUP "E&F_2" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_2_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_2_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_2_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_2_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_2_Channels/Encoder; -LOCATE UGROUP "E&F_2" REGION "Region_E&F_1" ; -UGROUP "E&F_3" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_3_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_3_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_3_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_3_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_3_Channels/Encoder; -LOCATE UGROUP "E&F_3" REGION "Region_E&F_1" ; -UGROUP "E&F_4" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_4_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_4_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_4_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_4_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_4_Channels/Encoder; -LOCATE UGROUP "E&F_4" REGION "Region_E&F_2" ; -UGROUP "E&F_5" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_5_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_5_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_5_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_5_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_5_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_5_Channels/Encoder; -LOCATE UGROUP "E&F_5" REGION "Region_E&F_2" ; -UGROUP "E&F_6" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_6_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_6_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_6_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_6_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_6_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_6_Channels/Encoder; -LOCATE UGROUP "E&F_6" REGION "Region_E&F_3" ; -UGROUP "E&F_7" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_7_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_7_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_7_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_7_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_7_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_7_Channels/Encoder; -LOCATE UGROUP "E&F_7" REGION "Region_E&F_3" ; -UGROUP "E&F_8" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_8_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_8_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_8_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_8_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_8_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_8_Channels/Encoder; -LOCATE UGROUP "E&F_8" REGION "Region_E&F_3" ; -UGROUP "E&F_9" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_9_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_9_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_9_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_9_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_9_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_9_Channels/Encoder; -LOCATE UGROUP "E&F_9" REGION "Region_E&F_3" ; -UGROUP "E&F_10" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_10_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_10_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_10_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_10_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_10_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_10_Channels/Encoder; -LOCATE UGROUP "E&F_10" REGION "Region_E&F_4" ; -UGROUP "E&F_11" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_11_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_11_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_11_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_11_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_11_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_11_Channels/Encoder; -LOCATE UGROUP "E&F_11" REGION "Region_E&F_4" ; -UGROUP "E&F_12" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_12_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_12_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_12_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_12_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_12_Channels/Encoder; -LOCATE UGROUP "E&F_12" REGION "Region_E&F_4" ; -UGROUP "E&F_13" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_13_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_13_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_13_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_13_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_13_Channels/Encoder; -LOCATE UGROUP "E&F_13" REGION "Region_E&F_4" ; -UGROUP "E&F_14" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_14_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_14_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_14_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_14_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_14_Channels/Encoder; -LOCATE UGROUP "E&F_14" REGION "Region_E&F_5" ; -UGROUP "E&F_15" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_15_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_15_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_15_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_15_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_15_Channels/Encoder; -LOCATE UGROUP "E&F_15" REGION "Region_E&F_5" ; -UGROUP "E&F_16" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_16_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_16_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_16_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_16_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_16_Channels/Encoder; -LOCATE UGROUP "E&F_16" REGION "Region_E&F_5" ; -UGROUP "E&F_17" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_17_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_17_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_17_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_17_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_17_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_17_Channels/Encoder; -LOCATE UGROUP "E&F_17" REGION "Region_E&F_5" ; -UGROUP "E&F_18" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_18_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_18_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_18_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_18_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_18_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_18_Channels/Encoder; -LOCATE UGROUP "E&F_18" REGION "Region_E&F_6" ; -UGROUP "E&F_19" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_19_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_19_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_19_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_19_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_19_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_19_Channels/Encoder; -LOCATE UGROUP "E&F_19" REGION "Region_E&F_6" ; -UGROUP "E&F_20" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_20_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_20_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_20_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_20_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_20_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_20_Channels/Encoder; -LOCATE UGROUP "E&F_20" REGION "Region_E&F_6" ; -UGROUP "E&F_21" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_21_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_21_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_21_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_21_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_21_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_21_Channels/Encoder; -LOCATE UGROUP "E&F_21" REGION "Region_E&F_6" ; -UGROUP "E&F_22" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_22_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_22_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_22_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_22_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_22_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_22_Channels/Encoder; -LOCATE UGROUP "E&F_22" REGION "Region_E&F_7" ; -UGROUP "E&F_23" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_23_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_23_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_23_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_23_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_23_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_23_Channels/Encoder; -LOCATE UGROUP "E&F_23" REGION "Region_E&F_7" ; -# -# -# -UGROUP "E&F_24" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_24_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_24_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_24_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_24_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_24_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_24_Channels/Encoder; -LOCATE UGROUP "E&F_24" REGION "Region_E&F_8" ; -UGROUP "E&F_25" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_25_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_25_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_25_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_25_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_25_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_25_Channels/Encoder; -LOCATE UGROUP "E&F_25" REGION "Region_E&F_8" ; -UGROUP "E&F_26" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_26_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_26_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_26_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_26_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_26_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_26_Channels/Encoder; -LOCATE UGROUP "E&F_26" REGION "Region_E&F_8" ; -UGROUP "E&F_27" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_27_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_27_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_27_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_27_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_27_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_27_Channels/Encoder; -LOCATE UGROUP "E&F_27" REGION "Region_E&F_8" ; -UGROUP "E&F_28" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_28_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_28_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_28_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_28_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_28_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_28_Channels/Encoder; -LOCATE UGROUP "E&F_28" REGION "Region_E&F_9" ; -UGROUP "E&F_29" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_29_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_29_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_29_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_29_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_29_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_29_Channels/Encoder; -LOCATE UGROUP "E&F_29" REGION "Region_E&F_9" ; -UGROUP "E&F_30" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_30_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_30_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_30_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_30_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_30_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_30_Channels/Encoder; -LOCATE UGROUP "E&F_30" REGION "Region_E&F_10" ; -UGROUP "E&F_31" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_31_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_31_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_31_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_31_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_31_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_31_Channels/Encoder; -LOCATE UGROUP "E&F_31" REGION "Region_E&F_10" ; -UGROUP "E&F_32" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_32_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_32_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_32_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_32_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_32_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_32_Channels/Encoder; -LOCATE UGROUP "E&F_32" REGION "Region_E&F_10" ; -UGROUP "E&F_33" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_33_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_33_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_33_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_33_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_33_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_33_Channels/Encoder; -LOCATE UGROUP "E&F_33" REGION "Region_E&F_10" ; -UGROUP "E&F_34" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_34_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_34_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_34_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_34_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_34_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_34_Channels/Encoder; -LOCATE UGROUP "E&F_34" REGION "Region_E&F_11" ; -UGROUP "E&F_35" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_35_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_35_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_35_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_35_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_35_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_35_Channels/Encoder; -LOCATE UGROUP "E&F_35" REGION "Region_E&F_11" ; -UGROUP "E&F_36" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_36_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_36_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_36_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_36_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_36_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_36_Channels/Encoder; -LOCATE UGROUP "E&F_36" REGION "Region_E&F_11" ; -UGROUP "E&F_37" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_37_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_37_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_37_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_37_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_37_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_37_Channels/Encoder; -LOCATE UGROUP "E&F_37" REGION "Region_E&F_11" ; -UGROUP "E&F_38" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_38_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_38_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_38_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_38_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_38_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_38_Channels/Encoder; -LOCATE UGROUP "E&F_38" REGION "Region_E&F_12" ; -UGROUP "E&F_39" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_39_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_39_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_39_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_39_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_39_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_39_Channels/Encoder; -LOCATE UGROUP "E&F_39" REGION "Region_E&F_12" ; -UGROUP "E&F_40" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_40_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_40_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_40_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_40_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_40_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_40_Channels/Encoder; -LOCATE UGROUP "E&F_40" REGION "Region_E&F_12" ; -UGROUP "E&F_41" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_41_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_41_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_41_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_41_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_41_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_41_Channels/Encoder; -LOCATE UGROUP "E&F_41" REGION "Region_E&F_12" ; -UGROUP "E&F_42" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_42_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_42_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_42_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_42_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_42_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_42_Channels/Encoder; -LOCATE UGROUP "E&F_42" REGION "Region_E&F_13" ; -UGROUP "E&F_43" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_43_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_43_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_43_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_43_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_43_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_43_Channels/Encoder; -LOCATE UGROUP "E&F_43" REGION "Region_E&F_13" ; -UGROUP "E&F_44" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_44_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_44_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_44_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_44_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_44_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_44_Channels/Encoder; -LOCATE UGROUP "E&F_44" REGION "Region_E&F_13" ; -UGROUP "E&F_45" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_45_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_45_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_45_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_45_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_45_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_45_Channels/Encoder; -LOCATE UGROUP "E&F_45" REGION "Region_E&F_13" ; -UGROUP "E&F_46" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_46_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_46_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_46_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_46_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_46_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_46_Channels/Encoder; -LOCATE UGROUP "E&F_46" REGION "Region_E&F_14" ; -UGROUP "E&F_47" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_47_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_47_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_47_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_47_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_47_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_47_Channels/Encoder; -LOCATE UGROUP "E&F_47" REGION "Region_E&F_14" ; -# -# -# -UGROUP "E&F_48" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_48_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_48_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_48_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_48_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_48_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_48_Channels/Encoder; -LOCATE UGROUP "E&F_48" REGION "Region_E&F_8" ; -UGROUP "E&F_49" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_49_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_49_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_49_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_49_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_49_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_49_Channels/Encoder; -LOCATE UGROUP "E&F_49" REGION "Region_E&F_8" ; -UGROUP "E&F_50" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_50_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_50_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_50_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_50_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_50_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_50_Channels/Encoder; -LOCATE UGROUP "E&F_50" REGION "Region_E&F_8" ; -UGROUP "E&F_51" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_51_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_51_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_51_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_51_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_51_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_51_Channels/Encoder; -LOCATE UGROUP "E&F_51" REGION "Region_E&F_8" ; -UGROUP "E&F_52" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_52_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_52_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_52_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_52_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_52_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_52_Channels/Encoder; -LOCATE UGROUP "E&F_52" REGION "Region_E&F_9" ; -UGROUP "E&F_53" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_53_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_53_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_53_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_53_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_53_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_53_Channels/Encoder; -LOCATE UGROUP "E&F_53" REGION "Region_E&F_9" ; -UGROUP "E&F_54" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_54_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_54_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_54_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_54_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_54_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_54_Channels/Encoder; -LOCATE UGROUP "E&F_54" REGION "Region_E&F_10" ; -UGROUP "E&F_55" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_55_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_55_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_55_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_55_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_55_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_55_Channels/Encoder; -LOCATE UGROUP "E&F_55" REGION "Region_E&F_10" ; -UGROUP "E&F_56" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_56_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_56_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_56_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_56_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_56_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_56_Channels/Encoder; -LOCATE UGROUP "E&F_56" REGION "Region_E&F_10" ; -UGROUP "E&F_57" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_57_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_57_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_57_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_57_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_57_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_57_Channels/Encoder; -LOCATE UGROUP "E&F_57" REGION "Region_E&F_10" ; -UGROUP "E&F_58" BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_58_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_58_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_58_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_58_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_58_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_58_Channels/Encoder; -LOCATE UGROUP "E&F_58" REGION "Region_E&F_11" ; -UGROUP "E&F_59" BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_59_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_59_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_59_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_59_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_59_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_59_Channels/Encoder; -LOCATE UGROUP "E&F_59" REGION "Region_E&F_11" ; -UGROUP "E&F_60" BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_60_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_60_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_60_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_60_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_60_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_60_Channels/Encoder; -LOCATE UGROUP "E&F_60" REGION "Region_E&F_11" ; -UGROUP "E&F_61" BBOX 4 5 - BLKNAME THE_TDC/GEN_Channels_61_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_61_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_61_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_61_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_61_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_61_Channels/Encoder; -LOCATE UGROUP "E&F_61" REGION "Region_E&F_11" ; -UGROUP "E&F_62" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_62_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_62_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_62_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_62_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_62_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_62_Channels/Encoder; -LOCATE UGROUP "E&F_62" REGION "Region_E&F_12" ; -UGROUP "E&F_63" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_63_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_63_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_63_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_63_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_63_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_63_Channels/Encoder; -LOCATE UGROUP "E&F_63" REGION "Region_E&F_12" ; -UGROUP "E&F_64" BBOX 4 5" - BLKNAME THE_TDC/GEN_Channels_64_Channels/hit_detect_i - BLKNAME THE_TDC/GEN_Channels_64_Channels/hit_detect_reg - BLKNAME THE_TDC/GEN_Channels_64_Channels/result_2_reg -# BLKNAME THE_TDC/GEN_Channels_64_Channels/result_reg_* - BLKNAME THE_TDC/GEN_Channels_64_Channels/FIFO - BLKNAME THE_TDC/GEN_Channels_64_Channels/Encoder; -LOCATE UGROUP "E&F_64" REGION "Region_E&F_13" ; - - -############################################################################# -## Unimportant Data Lines ## -############################################################################## -#MULTICYCLE TO PORT "TEST_LINE_*" 2.000000 X ; -MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/lost_hit_cntr_*" 3.000000 X ; -#MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/hit_detect_cntr_*" 3.000000 X ; -MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/encoder_start_cntr_*" 3.000000 X ; -MULTICYCLE TO CELL "THE_TDC/GEN_Channels_*_Channels/measurement_cntr_*" 3.000000 X ; - -MULTICYCLE FROM CELL "THE_TDC/reset_tdc*" 3.000000 X ; - - -MAXDELAY NET "THE_TDC/The_Reference_Time/hit_buf" 0.700000 nS DATAPATH_ONLY ; -MAXDELAY NET "THE_TDC/GEN_Channels_*_Channels/hit_buf" 0.700000 nS DATAPATH_ONLY ; -- 2.43.0