From 090c3cbfd7e10c6603e3d76cda373bf4689076a1 Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Mon, 14 Nov 2022 13:53:38 +0100 Subject: [PATCH] the long and winding road --- gbe_hub/structure.txt | 23 ++++++++++++----------- gbe_hub/trb3sc_gbe_hub.prj | 7 +++++-- gbe_hub/trb3sc_gbe_hub.vhd | 15 +++++++-------- 3 files changed, 24 insertions(+), 21 deletions(-) diff --git a/gbe_hub/structure.txt b/gbe_hub/structure.txt index e21bce6..e4c0bdb 100644 --- a/gbe_hub/structure.txt +++ b/gbe_hub/structure.txt @@ -1,15 +1,16 @@ trb3sc_gbe_hub +-> clock_reset_handler +-> reset_handler - +-> gbe_sgl_ctrl - +-> gbe_wrapper_fifo +-> rx_rb +-> rb_4k_9 - | +-> gbe_logic_wrapper +-> gbe_main_control ++> gbe_protocol_selector +-> gbe_response_constructor_ARP - | | +-> gbe_response_constructor_DHCP - | | +-> gbe_response_constructor_Ping - | | +-> gbe_response_constructor_SCTRL +-> fifo_2kx9x18_wcnt - | | +-> gbe_response_constructor_Forward - | +-> gbe_transmit_control - | +-> gbe_frame_constr - | +-> gbe_receive_control - | +-> gbe_frame_receiver +-> fifo_4096x9 + *-> gbe_sgl_ctrl + *-> gbe_wrapper_fifo +*> rx_rb +*> rb_4k_9 + | +*> gbe_logic_wrapper +*> gbe_main_control +*> gbe_protocol_selector +*> gbe_response_constructor_ARP + | | +*> gbe_response_constructor_DHCP + | | +*> gbe_response_constructor_Ping + | | +*> gbe_response_constructor_SCTRL +*> fifo_2kx9x18_wcnt + | | +*> gbe_response_constructor_Forward + | | +*> gbe_response_constructor_Discovery + | +*> gbe_transmit_control + | +*> gbe_frame_constr + | +*> gbe_receive_control + | +*> gbe_frame_receiver +-> fifo_4096x9 | +-> fifo_512x72 +-> trb_net16_endpoint_standalone_sctrl +-> trb_net16_api_base +-> trb_net16_term | | +-> trb_net16_sbuf diff --git a/gbe_hub/trb3sc_gbe_hub.prj b/gbe_hub/trb3sc_gbe_hub.prj index c0b3f2d..0a9febc 100644 --- a/gbe_hub/trb3sc_gbe_hub.prj +++ b/gbe_hub/trb3sc_gbe_hub.prj @@ -54,8 +54,6 @@ impl -active "workdir" add_file -vhdl -lib work "workdir/version.vhd" add_file -vhdl -lib work "config.vhd" add_file -vhdl -lib work "../../trbnet/trb_net_std.vhd" -add_file -vhdl -lib work "../../trbnet/trb_net_components.vhd" -add_file -vhdl -lib work "../../trbnet/gbe_trb/base/gbe_protocols.vhd" #Basic Infrastructure @@ -101,6 +99,7 @@ add_file -vhdl -lib work "../../trbnet/special/fpga_reboot.vhd" add_file -vhdl -lib work "../../trbnet/special/uart.vhd" add_file -vhdl -lib work "../../trbnet/special/uart_rec.vhd" add_file -vhdl -lib work "../../trbnet/special/uart_trans.vhd" +add_file -vhdl -lib work "../../trbnet/special/trb_net_i2cwire.vhd" add_file -vhdl -lib work "../../trbnet/special/trb_net_i2cwire2.vhd" add_file -vhdl -lib work "../../TOMcat/code/tomcat_tools.vhd" add_file -vhdl -lib work "../../trb3sc/code/debuguart.vhd" @@ -109,6 +108,7 @@ add_file -vhdl -lib work "../../trb3sc/code/spi_master_generic.vhd" add_file -vhdl -lib work "../../vhdlbasics/interface/i2c_gstart2.vhd" add_file -vhdl -lib work "../../vhdlbasics/interface/i2c_sendb2.vhd" add_file -vhdl -lib work "../../vhdlbasics/interface/i2c_slim2.vhd" +add_file -vhdl -lib work "../../vhdlbasics/interface/i2c_slim.vhd" #SlowControl files @@ -132,6 +132,9 @@ add_file -vhdl -lib work "../../trbnet/basics/ram_16x16_dp.vhd" add_file -vhdl -lib work "../../trbnet/basics/ram_dp.vhd" add_file -vhdl -lib work "../../trbnet/trb_net16_term.vhd" add_file -vhdl -lib work "../../trbnet/trb_net_sbuf.vhd" +add_file -vhdl -lib work "../../trbnet/trb_net_sbuf2.vhd" +add_file -vhdl -lib work "../../trbnet/trb_net_sbuf3.vhd" +add_file -vhdl -lib work "../../trbnet/trb_net_sbuf4.vhd" add_file -vhdl -lib work "../../trbnet/trb_net_sbuf5.vhd" add_file -vhdl -lib work "../../trbnet/trb_net_sbuf6.vhd" add_file -vhdl -lib work "../../trbnet/trb_net16_sbuf.vhd" diff --git a/gbe_hub/trb3sc_gbe_hub.vhd b/gbe_hub/trb3sc_gbe_hub.vhd index 78a50b6..06db4d6 100644 --- a/gbe_hub/trb3sc_gbe_hub.vhd +++ b/gbe_hub/trb3sc_gbe_hub.vhd @@ -1,14 +1,13 @@ library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; library work; -use work.version.all; -use work.config.all; -use work.trb_net_std.all; ---use work.trb_net_components.all; -use work.version.all; -use work.med_sync_define_RS.all; + use work.version.all; + use work.config.all; + use work.trb_net_std.all; + use work.version.all; +-- use work.med_sync_define_RS.all; -- BUG: backplane handling!!! -- 2.43.0