From 0a4d3746669f2153079297272106527536c05a21 Mon Sep 17 00:00:00 2001 From: Ingo Froehlich Date: Fri, 21 Feb 2020 08:15:57 +0100 Subject: [PATCH] error mask by default disabled --- media_interfaces/sync/med_sync_control.vhd | 2 +- media_interfaces/sync/rx_control.vhd | 16 ++++++++-------- 2 files changed, 9 insertions(+), 9 deletions(-) diff --git a/media_interfaces/sync/med_sync_control.vhd b/media_interfaces/sync/med_sync_control.vhd index 37a1146..6b5c990 100644 --- a/media_interfaces/sync/med_sync_control.vhd +++ b/media_interfaces/sync/med_sync_control.vhd @@ -125,7 +125,7 @@ signal tx_force_pak_error : std_logic := '0'; signal send_chksum : std_logic := '0'; signal got_chksum : std_logic; signal got_fatal_error : std_logic; -signal enable_chksum_reg : std_logic_vector(5 downto 0) := "000000"; +signal enable_chksum_reg : std_logic_vector(5 downto 0) := "111111"; signal enable_chksum_comb : std_logic_vector(5 downto 0); begin diff --git a/media_interfaces/sync/rx_control.vhd b/media_interfaces/sync/rx_control.vhd index 014ca44..82905c8 100644 --- a/media_interfaces/sync/rx_control.vhd +++ b/media_interfaces/sync/rx_control.vhd @@ -455,14 +455,14 @@ PROC_RX_FSM : process begin end if; if use_crc_200 = '1' and num_pakets /= 0 and force_crc_error_int = '0' and disable_crc = '0' - and enable_chksum_200(0) = '1' and enable_chksum_200(3) = '1' then - -- IDLE only allowed after CRC, must be disabled until explicitly - -- switched on --- rx_state <= FIRST; - force_crc_error_int <= '1'; - if enable_chksum_200(4) = '1' and num_pakets=5 then - force_crc_error_int <= '0'; --mask obligatory CRC after 5 pakets - end if; + and enable_chksum_200(0) = '1' then + if enable_chksum_200(3) = '1' and num_pakets /= 5 then + -- No IDLE in between transfer + force_crc_error_int <= '1'; + elsif enable_chksum_200(4) = '1' and num_pakets=5 then + --no obligatory CRC after 5 pakets + force_crc_error_int <= '1'; + end if; end if; when GET_DATA => -- 2.43.0