From 0ee43e75a6d88d05485f1b1aefe16eee5a345e5b Mon Sep 17 00:00:00 2001 From: Cahit Date: Sat, 15 Mar 2014 07:51:46 +0100 Subject: [PATCH] removed unnecessary file --- tdc_releases/tdc_v1.6/hit_inv.vhd | 27 --------------------------- 1 file changed, 27 deletions(-) delete mode 100644 tdc_releases/tdc_v1.6/hit_inv.vhd diff --git a/tdc_releases/tdc_v1.6/hit_inv.vhd b/tdc_releases/tdc_v1.6/hit_inv.vhd deleted file mode 100644 index 9002c71..0000000 --- a/tdc_releases/tdc_v1.6/hit_inv.vhd +++ /dev/null @@ -1,27 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -entity hit_inv is - - port ( - PORT_IN : in std_logic; - PORT_OUT : out std_logic); - -end entity hit_inv; - -architecture behavioral of hit_inv is - - signal hit_buf : std_logic; - attribute syn_keep : boolean; - attribute syn_keep of hit_buf : signal is true; - attribute syn_preserve : boolean; - attribute syn_preserve of hit_buf : signal is true; - - -begin -- architecture behavioral - - hit_buf <= PORT_IN; - PORT_OUT <= not hit_buf; - -end architecture behavioral; -- 2.43.0