From 14b8ff95df0611e92d9c58a427b8093de8ff0912 Mon Sep 17 00:00:00 2001 From: hadeshyp Date: Fri, 2 Mar 2007 16:26:23 +0000 Subject: [PATCH] lvds link simulations seem to work, Ingo --- testbench/lvds_chain_testsim.tcl | 1 + testbench/settings_lvds_chain.sav | 33 ++-------------------- testbench/trb_net_lvds_chain_testbench.vhd | 16 ++++++----- trb_net_obuf.vhd | 4 +++ 4 files changed, 16 insertions(+), 38 deletions(-) diff --git a/testbench/lvds_chain_testsim.tcl b/testbench/lvds_chain_testsim.tcl index d81c1e5..7e4bd37 100644 --- a/testbench/lvds_chain_testsim.tcl +++ b/testbench/lvds_chain_testsim.tcl @@ -10,6 +10,7 @@ vcd dumpvars -m /API1/IOBUF/INITOBUF/ vcd dumpvars -m /API1/IOBUF/REPLYIBUF/ vcd dumpvars -m /API2/IOBUF/INITIBUF/ vcd dumpvars -m /API2/IOBUF/INITOBUF/ +vcd dumpvars -m /API2/IOBUF/REPLYOBUF/ vcd dumpvars -m /API2/ACTIVE_API/ vcd dumpvars -m /LVDS1/ vcd dumpvars -m /LVDS2/ diff --git a/testbench/settings_lvds_chain.sav b/testbench/settings_lvds_chain.sav index 12ced52..b145492 100644 --- a/testbench/settings_lvds_chain.sav +++ b/testbench/settings_lvds_chain.sav @@ -1,11 +1,10 @@ [size] 1272 936 [pos] -1 -1 -*-29.799541 160100000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +*-30.799541 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 @200 -DUMMY_APL @28 trb_net_lvds_chain_testbench.APL1.apl_write_out -trb_net_lvds_chain_testbench.APL1.apl_fifo_full_in @22 #apl_data_out[47:0] trb_net_lvds_chain_testbench.APL1.apl_data_out[47] trb_net_lvds_chain_testbench.APL1.apl_data_out[46] trb_net_lvds_chain_testbench.APL1.apl_data_out[45] trb_net_lvds_chain_testbench.APL1.apl_data_out[44] trb_net_lvds_chain_testbench.APL1.apl_data_out[43] trb_net_lvds_chain_testbench.APL1.apl_data_out[42] trb_net_lvds_chain_testbench.APL1.apl_data_out[41] trb_net_lvds_chain_testbench.APL1.apl_data_out[40] trb_net_lvds_chain_testbench.APL1.apl_data_out[39] trb_net_lvds_chain_testbench.APL1.apl_data_out[38] trb_net_lvds_chain_testbench.APL1.apl_data_out[37] trb_net_lvds_chain_testbench.APL1.apl_data_out[36] trb_net_lvds_chain_testbench.APL1.apl_data_out[35] trb_net_lvds_chain_testbench.APL1.apl_data_out[34] trb_net_lvds_chain_testbench.APL1.apl_data_out[33] trb_net_lvds_chain_testbench.APL1.apl_data_out[32] trb_net_lvds_chain_testbench.APL1.apl_data_out[31] trb_net_lvds_chain_testbench.APL1.apl_data_out[30] trb_net_lvds_chain_testbench.APL1.apl_data_out[29] trb_net_lvds_chain_testbench.APL1.apl_data_out[28] trb_net_lvds_chain_testbench.APL1.apl_data_out[27] trb_net_lvds_chain_testbench.APL1.apl_data_out[26] trb_net_lvds_chain_testbench.APL1.apl_data_out[25] trb_net_lvds_chain_testbench.APL1.apl_data_out[24] trb_net_lvds_chain_testbench.APL1.apl_data_out[23] trb_net_lvds_chain_testbench.APL1.apl_data_out[22] trb_net_lvds_chain_testbench.APL1.apl_data_out[21] trb_net_lvds_chain_testbench.APL1.apl_data_out[20] trb_net_lvds_chain_testbench.APL1.apl_data_out[19] trb_net_lvds_chain_testbench.APL1.apl_data_out[18] trb_net_lvds_chain_testbench.APL1.apl_data_out[17] trb_net_lvds_chain_testbench.APL1.apl_data_out[16] trb_net_lvds_chain_testbench.APL1.apl_data_out[15] trb_net_lvds_chain_testbench.APL1.apl_data_out[14] trb_net_lvds_chain_testbench.APL1.apl_data_out[13] trb_net_lvds_chain_testbench.APL1.apl_data_out[12] trb_net_lvds_chain_testbench.APL1.apl_data_out[11] trb_net_lvds_chain_testbench.APL1.apl_data_out[10] trb_net_lvds_chain_testbench.APL1.apl_data_out[9] trb_net_lvds_chain_testbench.APL1.apl_data_out[8] trb_net_lvds_chain_testbench.APL1.apl_data_out[7] trb_net_lvds_chain_testbench.APL1.apl_data_out[6] trb_net_lvds_chain_testbench.APL1.apl_data_out[5] trb_net_lvds_chain_testbench.APL1.apl_data_out[4] trb_net_lvds_chain_testbench.APL1.apl_data_out[3] trb_net_lvds_chain_testbench.APL1.apl_data_out[2] trb_net_lvds_chain_testbench.APL1.apl_data_out[1] trb_net_lvds_chain_testbench.APL1.apl_data_out[0] @28 @@ -14,7 +13,6 @@ trb_net_lvds_chain_testbench.APL1.apl_send_out #apl_data_in[47:0] trb_net_lvds_chain_testbench.APL1.apl_data_in[47] trb_net_lvds_chain_testbench.APL1.apl_data_in[46] trb_net_lvds_chain_testbench.APL1.apl_data_in[45] trb_net_lvds_chain_testbench.APL1.apl_data_in[44] trb_net_lvds_chain_testbench.APL1.apl_data_in[43] trb_net_lvds_chain_testbench.APL1.apl_data_in[42] trb_net_lvds_chain_testbench.APL1.apl_data_in[41] trb_net_lvds_chain_testbench.APL1.apl_data_in[40] trb_net_lvds_chain_testbench.APL1.apl_data_in[39] trb_net_lvds_chain_testbench.APL1.apl_data_in[38] trb_net_lvds_chain_testbench.APL1.apl_data_in[37] trb_net_lvds_chain_testbench.APL1.apl_data_in[36] trb_net_lvds_chain_testbench.APL1.apl_data_in[35] trb_net_lvds_chain_testbench.APL1.apl_data_in[34] trb_net_lvds_chain_testbench.APL1.apl_data_in[33] trb_net_lvds_chain_testbench.APL1.apl_data_in[32] trb_net_lvds_chain_testbench.APL1.apl_data_in[31] trb_net_lvds_chain_testbench.APL1.apl_data_in[30] trb_net_lvds_chain_testbench.APL1.apl_data_in[29] trb_net_lvds_chain_testbench.APL1.apl_data_in[28] trb_net_lvds_chain_testbench.APL1.apl_data_in[27] trb_net_lvds_chain_testbench.APL1.apl_data_in[26] trb_net_lvds_chain_testbench.APL1.apl_data_in[25] trb_net_lvds_chain_testbench.APL1.apl_data_in[24] trb_net_lvds_chain_testbench.APL1.apl_data_in[23] trb_net_lvds_chain_testbench.APL1.apl_data_in[22] trb_net_lvds_chain_testbench.APL1.apl_data_in[21] trb_net_lvds_chain_testbench.APL1.apl_data_in[20] trb_net_lvds_chain_testbench.APL1.apl_data_in[19] trb_net_lvds_chain_testbench.APL1.apl_data_in[18] trb_net_lvds_chain_testbench.APL1.apl_data_in[17] trb_net_lvds_chain_testbench.APL1.apl_data_in[16] trb_net_lvds_chain_testbench.APL1.apl_data_in[15] trb_net_lvds_chain_testbench.APL1.apl_data_in[14] trb_net_lvds_chain_testbench.APL1.apl_data_in[13] trb_net_lvds_chain_testbench.APL1.apl_data_in[12] trb_net_lvds_chain_testbench.APL1.apl_data_in[11] trb_net_lvds_chain_testbench.APL1.apl_data_in[10] trb_net_lvds_chain_testbench.APL1.apl_data_in[9] trb_net_lvds_chain_testbench.APL1.apl_data_in[8] trb_net_lvds_chain_testbench.APL1.apl_data_in[7] trb_net_lvds_chain_testbench.APL1.apl_data_in[6] trb_net_lvds_chain_testbench.APL1.apl_data_in[5] trb_net_lvds_chain_testbench.APL1.apl_data_in[4] trb_net_lvds_chain_testbench.APL1.apl_data_in[3] trb_net_lvds_chain_testbench.APL1.apl_data_in[2] trb_net_lvds_chain_testbench.APL1.apl_data_in[1] trb_net_lvds_chain_testbench.APL1.apl_data_in[0] @28 #apl_typ_in[2:0] trb_net_lvds_chain_testbench.APL1.apl_typ_in[2] trb_net_lvds_chain_testbench.APL1.apl_typ_in[1] trb_net_lvds_chain_testbench.APL1.apl_typ_in[0] -trb_net_lvds_chain_testbench.APL1.apl_dataready_in trb_net_lvds_chain_testbench.API1.ACTIVE_API.apl_dataready_out @22 #apl_seqnr_in[7:0] trb_net_lvds_chain_testbench.APL1.apl_seqnr_in[7] trb_net_lvds_chain_testbench.APL1.apl_seqnr_in[6] trb_net_lvds_chain_testbench.APL1.apl_seqnr_in[5] trb_net_lvds_chain_testbench.APL1.apl_seqnr_in[4] trb_net_lvds_chain_testbench.APL1.apl_seqnr_in[3] trb_net_lvds_chain_testbench.APL1.apl_seqnr_in[2] trb_net_lvds_chain_testbench.APL1.apl_seqnr_in[1] trb_net_lvds_chain_testbench.APL1.apl_seqnr_in[0] @@ -25,12 +23,7 @@ trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_dataready_out trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_read_in @22 #int_init_data_out[50:0] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[50] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[49] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[48] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[47] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[46] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[45] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[44] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[43] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[42] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[41] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[40] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[39] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[38] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[37] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[36] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[35] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[34] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[33] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[32] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[31] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[30] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[29] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[28] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[27] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[26] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[25] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[24] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[23] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[22] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[21] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[20] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[19] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[18] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[17] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[16] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[15] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[14] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[13] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[12] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[11] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[10] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[9] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[8] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[7] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[6] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[5] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[4] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[3] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[2] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[1] trb_net_lvds_chain_testbench.API1.ACTIVE_API.int_init_data_out[0] -@28 -trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_read -@22 -#fifo_to_int_data_out[47:0] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[47] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[46] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[45] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[44] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[43] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[42] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[41] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[40] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[39] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[38] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[37] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[36] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[35] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[34] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[33] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[32] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[31] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[30] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[29] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[28] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[27] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[26] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[25] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[24] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[23] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[22] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[21] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[20] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[19] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[18] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[17] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[16] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[15] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[14] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[13] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[12] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[11] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[10] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[9] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[8] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[7] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[6] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[5] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[4] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[3] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[2] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[1] trb_net_lvds_chain_testbench.API1.ACTIVE_API.fifo_to_int_data_out[0] @200 -- -IOBUF @22 #stat_locked[31:0] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[31] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[30] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[29] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[28] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[27] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[26] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[25] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[24] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[23] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[22] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[21] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[20] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[19] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[18] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[17] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[16] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[15] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[14] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[13] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[12] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[11] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[10] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[9] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[8] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[7] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[6] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[5] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[4] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[3] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[2] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[1] trb_net_lvds_chain_testbench.API1.IOBUF.stat_locked[0] @@ -44,12 +37,7 @@ trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.med_dataready_out trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.med_read_in @22 #ctrl_buffer[31:0] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[31] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[30] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[29] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[28] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[27] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[26] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[25] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[24] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[23] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[22] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[21] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[20] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[19] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[18] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[17] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[16] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[15] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[14] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[13] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[12] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[11] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[10] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[9] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[8] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[7] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[6] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[5] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[4] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[3] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[2] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[1] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.ctrl_buffer[0] -@28 -#transmitted_buffers[1:0] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.transmitted_buffers[1] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.transmitted_buffers[0] -@22 -#stat_locked[15:0] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[15] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[14] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[13] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[12] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[11] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[10] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[9] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[8] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[7] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[6] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[5] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[4] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[3] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[2] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[1] trb_net_lvds_chain_testbench.API1.IOBUF.INITOBUF.stat_locked[0] @200 -- -LVDS @22 #int_data_in[55:0] trb_net_lvds_chain_testbench.LVDS1.int_data_in[55] trb_net_lvds_chain_testbench.LVDS1.int_data_in[54] trb_net_lvds_chain_testbench.LVDS1.int_data_in[53] trb_net_lvds_chain_testbench.LVDS1.int_data_in[52] trb_net_lvds_chain_testbench.LVDS1.int_data_in[51] trb_net_lvds_chain_testbench.LVDS1.int_data_in[50] trb_net_lvds_chain_testbench.LVDS1.int_data_in[49] trb_net_lvds_chain_testbench.LVDS1.int_data_in[48] trb_net_lvds_chain_testbench.LVDS1.int_data_in[47] trb_net_lvds_chain_testbench.LVDS1.int_data_in[46] trb_net_lvds_chain_testbench.LVDS1.int_data_in[45] trb_net_lvds_chain_testbench.LVDS1.int_data_in[44] trb_net_lvds_chain_testbench.LVDS1.int_data_in[43] trb_net_lvds_chain_testbench.LVDS1.int_data_in[42] trb_net_lvds_chain_testbench.LVDS1.int_data_in[41] trb_net_lvds_chain_testbench.LVDS1.int_data_in[40] trb_net_lvds_chain_testbench.LVDS1.int_data_in[39] trb_net_lvds_chain_testbench.LVDS1.int_data_in[38] trb_net_lvds_chain_testbench.LVDS1.int_data_in[37] trb_net_lvds_chain_testbench.LVDS1.int_data_in[36] trb_net_lvds_chain_testbench.LVDS1.int_data_in[35] trb_net_lvds_chain_testbench.LVDS1.int_data_in[34] trb_net_lvds_chain_testbench.LVDS1.int_data_in[33] trb_net_lvds_chain_testbench.LVDS1.int_data_in[32] trb_net_lvds_chain_testbench.LVDS1.int_data_in[31] trb_net_lvds_chain_testbench.LVDS1.int_data_in[30] trb_net_lvds_chain_testbench.LVDS1.int_data_in[29] trb_net_lvds_chain_testbench.LVDS1.int_data_in[28] trb_net_lvds_chain_testbench.LVDS1.int_data_in[27] trb_net_lvds_chain_testbench.LVDS1.int_data_in[26] trb_net_lvds_chain_testbench.LVDS1.int_data_in[25] trb_net_lvds_chain_testbench.LVDS1.int_data_in[24] trb_net_lvds_chain_testbench.LVDS1.int_data_in[23] trb_net_lvds_chain_testbench.LVDS1.int_data_in[22] trb_net_lvds_chain_testbench.LVDS1.int_data_in[21] trb_net_lvds_chain_testbench.LVDS1.int_data_in[20] trb_net_lvds_chain_testbench.LVDS1.int_data_in[19] trb_net_lvds_chain_testbench.LVDS1.int_data_in[18] trb_net_lvds_chain_testbench.LVDS1.int_data_in[17] trb_net_lvds_chain_testbench.LVDS1.int_data_in[16] trb_net_lvds_chain_testbench.LVDS1.int_data_in[15] trb_net_lvds_chain_testbench.LVDS1.int_data_in[14] trb_net_lvds_chain_testbench.LVDS1.int_data_in[13] trb_net_lvds_chain_testbench.LVDS1.int_data_in[12] trb_net_lvds_chain_testbench.LVDS1.int_data_in[11] trb_net_lvds_chain_testbench.LVDS1.int_data_in[10] trb_net_lvds_chain_testbench.LVDS1.int_data_in[9] trb_net_lvds_chain_testbench.LVDS1.int_data_in[8] trb_net_lvds_chain_testbench.LVDS1.int_data_in[7] trb_net_lvds_chain_testbench.LVDS1.int_data_in[6] trb_net_lvds_chain_testbench.LVDS1.int_data_in[5] trb_net_lvds_chain_testbench.LVDS1.int_data_in[4] trb_net_lvds_chain_testbench.LVDS1.int_data_in[3] trb_net_lvds_chain_testbench.LVDS1.int_data_in[2] trb_net_lvds_chain_testbench.LVDS1.int_data_in[1] trb_net_lvds_chain_testbench.LVDS1.int_data_in[0] @@ -69,30 +57,13 @@ trb_net_lvds_chain_testbench.LVDS2.med_transmission_clk_in trb_net_lvds_chain_testbench.LVDS2.med_carrier_in @22 #int_data_out[55:0] trb_net_lvds_chain_testbench.LVDS2.int_data_out[55] trb_net_lvds_chain_testbench.LVDS2.int_data_out[54] trb_net_lvds_chain_testbench.LVDS2.int_data_out[53] trb_net_lvds_chain_testbench.LVDS2.int_data_out[52] trb_net_lvds_chain_testbench.LVDS2.int_data_out[51] trb_net_lvds_chain_testbench.LVDS2.int_data_out[50] trb_net_lvds_chain_testbench.LVDS2.int_data_out[49] trb_net_lvds_chain_testbench.LVDS2.int_data_out[48] trb_net_lvds_chain_testbench.LVDS2.int_data_out[47] trb_net_lvds_chain_testbench.LVDS2.int_data_out[46] trb_net_lvds_chain_testbench.LVDS2.int_data_out[45] trb_net_lvds_chain_testbench.LVDS2.int_data_out[44] trb_net_lvds_chain_testbench.LVDS2.int_data_out[43] trb_net_lvds_chain_testbench.LVDS2.int_data_out[42] trb_net_lvds_chain_testbench.LVDS2.int_data_out[41] trb_net_lvds_chain_testbench.LVDS2.int_data_out[40] trb_net_lvds_chain_testbench.LVDS2.int_data_out[39] trb_net_lvds_chain_testbench.LVDS2.int_data_out[38] trb_net_lvds_chain_testbench.LVDS2.int_data_out[37] trb_net_lvds_chain_testbench.LVDS2.int_data_out[36] trb_net_lvds_chain_testbench.LVDS2.int_data_out[35] trb_net_lvds_chain_testbench.LVDS2.int_data_out[34] trb_net_lvds_chain_testbench.LVDS2.int_data_out[33] trb_net_lvds_chain_testbench.LVDS2.int_data_out[32] trb_net_lvds_chain_testbench.LVDS2.int_data_out[31] trb_net_lvds_chain_testbench.LVDS2.int_data_out[30] trb_net_lvds_chain_testbench.LVDS2.int_data_out[29] trb_net_lvds_chain_testbench.LVDS2.int_data_out[28] trb_net_lvds_chain_testbench.LVDS2.int_data_out[27] trb_net_lvds_chain_testbench.LVDS2.int_data_out[26] trb_net_lvds_chain_testbench.LVDS2.int_data_out[25] trb_net_lvds_chain_testbench.LVDS2.int_data_out[24] trb_net_lvds_chain_testbench.LVDS2.int_data_out[23] trb_net_lvds_chain_testbench.LVDS2.int_data_out[22] trb_net_lvds_chain_testbench.LVDS2.int_data_out[21] trb_net_lvds_chain_testbench.LVDS2.int_data_out[20] trb_net_lvds_chain_testbench.LVDS2.int_data_out[19] trb_net_lvds_chain_testbench.LVDS2.int_data_out[18] trb_net_lvds_chain_testbench.LVDS2.int_data_out[17] trb_net_lvds_chain_testbench.LVDS2.int_data_out[16] trb_net_lvds_chain_testbench.LVDS2.int_data_out[15] trb_net_lvds_chain_testbench.LVDS2.int_data_out[14] trb_net_lvds_chain_testbench.LVDS2.int_data_out[13] trb_net_lvds_chain_testbench.LVDS2.int_data_out[12] trb_net_lvds_chain_testbench.LVDS2.int_data_out[11] trb_net_lvds_chain_testbench.LVDS2.int_data_out[10] trb_net_lvds_chain_testbench.LVDS2.int_data_out[9] trb_net_lvds_chain_testbench.LVDS2.int_data_out[8] trb_net_lvds_chain_testbench.LVDS2.int_data_out[7] trb_net_lvds_chain_testbench.LVDS2.int_data_out[6] trb_net_lvds_chain_testbench.LVDS2.int_data_out[5] trb_net_lvds_chain_testbench.LVDS2.int_data_out[4] trb_net_lvds_chain_testbench.LVDS2.int_data_out[3] trb_net_lvds_chain_testbench.LVDS2.int_data_out[2] trb_net_lvds_chain_testbench.LVDS2.int_data_out[1] trb_net_lvds_chain_testbench.LVDS2.int_data_out[0] -@29 -trb_net_lvds_chain_testbench.LVDS2.int_read_in @28 +trb_net_lvds_chain_testbench.LVDS2.int_read_in trb_net_lvds_chain_testbench.LVDS2.int_dataready_out @200 -- --IBUF (Rec) -@22 -#stat_locked[15:0] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[15] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[14] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[13] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[12] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[11] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[10] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[9] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[8] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[7] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[6] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[5] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[4] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[3] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[2] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[1] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_locked[0] -@28 -trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_read_in -@22 -#fifo_data_out[50:0] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[50] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[49] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[48] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[47] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[46] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[45] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[44] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[43] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[42] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[41] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[40] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[39] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[38] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[37] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[36] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[35] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[34] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[33] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[32] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[31] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[30] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[29] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[28] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[27] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[26] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[25] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[24] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[23] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[22] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[21] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[20] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[19] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[18] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[17] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[16] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[15] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[14] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[13] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[12] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[11] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[10] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[9] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[8] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[7] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[6] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[5] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[4] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[3] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[2] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[1] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.fifo_data_out[0] -#int_data_out[50:0] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[50] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[49] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[48] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[47] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[46] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[45] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[44] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[43] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[42] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[41] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[40] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[39] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[38] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[37] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[36] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[35] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[34] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[33] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[32] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[31] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[30] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[29] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[28] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[27] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[26] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[25] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[24] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[23] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[22] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[21] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[20] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[19] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[18] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[17] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[16] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[15] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[14] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[13] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[12] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[11] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[10] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[9] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[8] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[7] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[6] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[5] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[4] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[3] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[2] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[1] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.int_data_out[0] -@28 -trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.reg_eob_out -@22 -#stat_buffer[31:0] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[31] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[30] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[29] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[28] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[27] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[26] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[25] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[24] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[23] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[22] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[21] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[20] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[19] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[18] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[17] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[16] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[15] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[14] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[13] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[12] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[11] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[10] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[9] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[8] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[7] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[6] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[5] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[4] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[3] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[2] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[1] trb_net_lvds_chain_testbench.API2.IOBUF.INITIBUF.stat_buffer[0] -@200 -API (bounce) @28 trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_dataready_out trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_read_in @22 #int_reply_data_out[50:0] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[50] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[49] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[48] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[47] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[46] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[45] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[44] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[43] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[42] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[41] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[40] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[39] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[38] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[37] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[36] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[35] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[34] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[33] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[32] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[31] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[30] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[29] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[28] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[27] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[26] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[25] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[24] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[23] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[22] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[21] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[20] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[19] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[18] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[17] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[16] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[15] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[14] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[13] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[12] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[11] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[10] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[9] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[8] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[7] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[6] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[5] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[4] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[3] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[2] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[1] trb_net_lvds_chain_testbench.API2.ACTIVE_API.int_reply_data_out[0] -@28 -trb_net_lvds_chain_testbench.API1.ACTIVE_API.INIT_SBUF.next_got_overflow diff --git a/testbench/trb_net_lvds_chain_testbench.vhd b/testbench/trb_net_lvds_chain_testbench.vhd index 60b8046..e2a6f3a 100644 --- a/testbench/trb_net_lvds_chain_testbench.vhd +++ b/testbench/trb_net_lvds_chain_testbench.vhd @@ -14,6 +14,7 @@ end trb_net_lvds_chain_testbench; architecture trb_net_lvds_chain_testbench_arch of trb_net_lvds_chain_testbench is signal clk : std_logic := '0'; + signal clk2 : std_logic := '0'; signal reset : std_logic := '1'; component trb_net_med_13bit_slow is @@ -227,6 +228,7 @@ begin clk <= not clk after 5ns; + clk2 <= not clk2 after 5.1ns; MED_DATA_1_to_2_api1_m(51 downto 0) <= MED_DATA_1_to_2_api1; MED_DATA_1_to_2_api1_m(55 downto 52) <= "0000"; --set CID to 0 @@ -259,8 +261,8 @@ APL1: trb_net_dummy_apl TARGET_ADDRESS => x"0002", -- TARGET_ADDRESS => x"000f", PREFILL_LENGTH => 0, --- TRANSFER_LENGTH => 4) - TRANSFER_LENGTH => 16) + TRANSFER_LENGTH => 4) +-- TRANSFER_LENGTH => 16) port map ( CLK => clk, RESET => reset, @@ -294,7 +296,7 @@ APL2: trb_net_dummy_apl -- TRANSFER_LENGTH => 2) TRANSFER_LENGTH => 8) port map ( - CLK => clk, + CLK => clk2, RESET => reset, CLK_EN => '1', @@ -372,7 +374,7 @@ API2: trb_net_active_apimbuf generic map ( FIFO_TERM_BUFFER_DEPTH => 3) port map ( - CLK => clk, + CLK => clk2, RESET => reset, CLK_EN => '1', @@ -420,7 +422,7 @@ API2: trb_net_active_apimbuf LVDS1: trb_net_med_13bit_slow generic map( - TRANSMISSION_CLOCK_DIVIDER => 4 + TRANSMISSION_CLOCK_DIVIDER => 2 ) port map( -- Misc @@ -464,11 +466,11 @@ LVDS1: trb_net_med_13bit_slow LVDS2: trb_net_med_13bit_slow generic map( - TRANSMISSION_CLOCK_DIVIDER => 4 + TRANSMISSION_CLOCK_DIVIDER => 2 ) port map( -- Misc - CLK => clk, + CLK => clk2, RESET => reset, CLK_EN => '1', diff --git a/trb_net_obuf.vhd b/trb_net_obuf.vhd index 1f9d763..bb3cadd 100644 --- a/trb_net_obuf.vhd +++ b/trb_net_obuf.vhd @@ -155,6 +155,10 @@ architecture trb_net_obuf_arch of trb_net_obuf is then next_INT_READ_OUT <= '0'; end if; +--In any case: if sbuf not free, then we stop data taking + if sbuf_free = '0' then + next_INT_READ_OUT <= '0'; + end if; end process; -- 2.43.0