From 16617df9abeda099367fa5c4f968b865bbe12e21 Mon Sep 17 00:00:00 2001 From: Michael Boehmer Date: Mon, 21 Mar 2022 11:24:57 +0100 Subject: [PATCH] removed unused signal --- media_interfaces/med_ecp3_sfp_sync_all_RS.vhd | 2 -- media_interfaces/sync/med_sync_control_RS.vhd | 21 +++++++------------ 2 files changed, 8 insertions(+), 15 deletions(-) diff --git a/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd b/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd index 914c56a..cef2dda 100644 --- a/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd +++ b/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd @@ -124,7 +124,6 @@ architecture med_ecp3_sfp_sync_all_RS_arch of med_ecp3_sfp_sync_all_RS is signal link_rx_ready_i : std_logic_vector(3 downto 0); signal link_rx_null_i : std_logic_vector(3 downto 0); signal link_tx_null_i : std_logic_vector(3 downto 0); - signal link_active_i : std_logic_vector(3 downto 0); signal word_sync_i : std_logic_vector(3 downto 0); signal rx_rst_word_i : std_logic_vector(4*8-1 downto 0); @@ -438,7 +437,6 @@ gen_control : for i in 0 to 3 generate WORD_SYNC_OUT => word_sync_i(i), LINK_TX_READY_IN => LINK_TX_READY_IN, LINK_RX_READY_OUT => link_rx_ready_i(i), - LINK_ACTIVE_OUT => link_active_i(i), LINK_RX_NULL_OUT => link_rx_null_i(i), LINK_TX_NULL_IN => link_tx_null_i(i), -- komma operation diff --git a/media_interfaces/sync/med_sync_control_RS.vhd b/media_interfaces/sync/med_sync_control_RS.vhd index 8a80644..2b1fae4 100644 --- a/media_interfaces/sync/med_sync_control_RS.vhd +++ b/media_interfaces/sync/med_sync_control_RS.vhd @@ -45,7 +45,6 @@ entity med_sync_control_RS is WORD_SYNC_OUT : out std_logic; LINK_TX_READY_IN : in std_logic; -- LINK_RX_READY_OUT : out std_logic; -- - LINK_ACTIVE_OUT : out std_logic; -- LINK_RX_NULL_OUT : out std_logic; LINK_TX_NULL_IN : in std_logic; -- komma handling @@ -101,7 +100,6 @@ architecture med_sync_control_arch of med_sync_control_RS is signal link_status : std_logic_vector(3 downto 0); signal link_status_qsys : std_logic_vector(3 downto 0); signal link_active_i : std_logic; - signal link_active_qref : std_logic; signal link_active_qsys : std_logic; signal link_rx_null_i : std_logic; signal link_rx_null_qref : std_logic; @@ -184,7 +182,7 @@ begin RX_RST_OUT => RX_RST_OUT, RX_RST_WORD_OUT => RX_RST_WORD_OUT, -- - LINK_RX_READY_IN => link_rx_ready_i, -- internally synced + LINK_RX_READY_IN => link_rx_ready_i, -- internally synced to CLK_RXI LINK_HALF_DONE_OUT => link_half_done_i, -- CLK_RXI based LINK_FULL_DONE_OUT => link_full_done_i, -- CLK_RXI based LINK_RX_NULL_OUT => link_rx_null_i, -- CLK_RXI based @@ -226,10 +224,10 @@ begin SEND_RST_IN => TX_RST_IN, SEND_RST_WORD_IN => TX_RST_WORD_IN, -- link status signals, internally synced - LINK_TX_READY_IN => link_tx_ready_i, -- internally synced - LINK_RX_READY_IN => link_rx_ready_i, -- internally synced - LINK_HALF_DONE_IN => link_half_done_i, -- internally synced - LINK_FULL_DONE_IN => link_full_done_i, -- internally synced + LINK_TX_READY_IN => link_tx_ready_i, -- internally synced to CLK_TXI + LINK_RX_READY_IN => link_rx_ready_i, -- internally synced to CLK_TXI + LINK_HALF_DONE_IN => link_half_done_i, -- internally synced to CLK_TXI + LINK_FULL_DONE_IN => link_full_done_i, -- internally synced to CLK_TXI LINK_TX_NULL_IN => LINK_TX_NULL_IN, -- debug DEBUG_OUT => debug_tx_control_i, @@ -315,20 +313,17 @@ begin SYNC_LA_SIGS : entity work.signal_sync generic map( - WIDTH => 2, + WIDTH => 1, DEPTH => 3 ) port map( RESET => '0', CLK0 => CLK_REF, CLK1 => CLK_REF, - D_IN(0) => link_active_i, - D_IN(1) => link_rx_null_i, - D_OUT(0) => link_active_qref, - D_OUT(1) => link_rx_null_qref + D_IN(0) => link_rx_null_i, + D_OUT(0) => link_rx_null_qref ); - LINK_ACTIVE_OUT <= link_active_qref; LINK_RX_NULL_OUT <= link_rx_null_qref; -- TEST_LINE signals -- 2.43.0